OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] [versatile_mem_ctrl/] [trunk/] [sim/] [rtl_sim/] [bin/] [sim_altera.tcl] - Diff between revs 28 and 31

Show entire file | Details | Blame | View Log

Rev 28 Rev 31
Line 18... Line 18...
 
 
# Compile Altera libraries
# Compile Altera libraries
if {![file exists altera_primitives] || $FORCE_LIBRARY_RECOMPILE} {
if {![file exists altera_primitives] || $FORCE_LIBRARY_RECOMPILE} {
vlib altera_primitives
vlib altera_primitives
vmap altera_primitives altera_primitives
vmap altera_primitives altera_primitives
 
#vlog -work altera_primitives /opt/altera9.1/quartus/eda/sim_lib/altera_primitives.v
vcom -work altera_primitives /opt/altera9.1/quartus/eda/sim_lib/altera_primitives_components.vhd
vcom -work altera_primitives /opt/altera9.1/quartus/eda/sim_lib/altera_primitives_components.vhd
vcom -work altera_primitives /opt/altera9.1/quartus/eda/sim_lib/altera_primitives.vhd
vcom -work altera_primitives /opt/altera9.1/quartus/eda/sim_lib/altera_primitives.vhd
}
}
if {![file exists altera_mf] || $FORCE_LIBRARY_RECOMPILE} {
if {![file exists altera_mf] || $FORCE_LIBRARY_RECOMPILE} {
vlib altera_mf
vlib altera_mf
vmap altera_mf altera_mf
vmap altera_mf altera_mf
 
#vlog -work altera_mf /opt/altera9.1/quartus/eda/sim_lib/altera_mf.v
vcom -work altera_mf /opt/altera9.1/quartus/eda/sim_lib/altera_mf_components.vhd
vcom -work altera_mf /opt/altera9.1/quartus/eda/sim_lib/altera_mf_components.vhd
vcom -work altera_mf /opt/altera9.1/quartus/eda/sim_lib/altera_mf.vhd
vcom -work altera_mf /opt/altera9.1/quartus/eda/sim_lib/altera_mf.vhd
}
}
if {![file exists lpm] || $FORCE_LIBRARY_RECOMPILE} {
if {![file exists lpm] || $FORCE_LIBRARY_RECOMPILE} {
vlib lpm
vlib lpm

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.