Line 21... |
Line 21... |
---- ----
|
---- ----
|
----------------------------------------------------------------------
|
----------------------------------------------------------------------
|
---- SVN information
|
---- SVN information
|
----
|
----
|
---- $URL: file:///svn/vhdl_wb_tb/vhdl_wb_tb/trunk/rtl/vhdl/packages/wishbone_pkg.vhd $
|
---- $URL: file:///svn/vhdl_wb_tb/vhdl_wb_tb/trunk/rtl/vhdl/packages/wishbone_pkg.vhd $
|
---- $Revision: 14 $
|
---- $Revision: 22 $
|
---- $Date: 2018-07-22 16:27:41 +0200 (Sun, 22 Jul 2018) $
|
---- $Date: 2018-08-01 12:06:31 +0200 (Wed, 01 Aug 2018) $
|
---- $Author: sinx $
|
---- $Author: sinx $
|
---- $Id: wishbone_pkg.vhd 14 2018-07-22 14:27:41Z sinx $
|
---- $Id: wishbone_pkg.vhd 22 2018-08-01 10:06:31Z sinx $
|
----------------------------------------------------------------------
|
----------------------------------------------------------------------
|
---- ----
|
---- ----
|
---- Copyright (C) 2018 Authors and OPENCORES.ORG ----
|
---- Copyright (C) 2018 Authors and OPENCORES.ORG ----
|
---- ----
|
---- ----
|
---- This source file may be used and distributed without ----
|
---- This source file may be used and distributed without ----
|
Line 63... |
Line 63... |
-- package -----------------------------------------------------------
|
-- package -----------------------------------------------------------
|
package wishbone_pkg is
|
package wishbone_pkg is
|
|
|
subtype wishbone_address_t is std_logic_vector(wishbone_address_width_c-1 downto 0);
|
subtype wishbone_address_t is std_logic_vector(wishbone_address_width_c-1 downto 0);
|
subtype wishbone_data_t is std_logic_vector(wishbone_data_width_c-1 downto 0);
|
subtype wishbone_data_t is std_logic_vector(wishbone_data_width_c-1 downto 0);
|
subtype wishbone_byte_select_t is std_logic_vector((wishbone_address_width_c/8)-1 downto 0);
|
subtype wishbone_byte_select_t is std_logic_vector((wishbone_data_width_c/8)-1 downto 0);
|
--subtype wishbone_cycle_type_t is std_logic_vector(2 downto 0);
|
--subtype wishbone_cycle_type_t is std_logic_vector(2 downto 0);
|
--subtype wishbone_burst_type_t is std_logic_vector(1 downto 0);
|
--subtype wishbone_burst_type_t is std_logic_vector(1 downto 0);
|
|
|
type wishbone_master_out_t is record
|
type wishbone_master_out_t is record
|
-- 2.2.2 Signals Common to MASTER and SLAVE Interfaces
|
-- 2.2.2 Signals Common to MASTER and SLAVE Interfaces
|
Line 124... |
Line 124... |
stb => '0',
|
stb => '0',
|
tga => (others=>'0'),
|
tga => (others=>'0'),
|
tgc => (others=>'0'),
|
tgc => (others=>'0'),
|
we => '0'
|
we => '0'
|
);
|
);
|
|
constant wb_slave_in_idle_c : wishbone_slave_in_t := wb_master_out_idle_c;
|
|
|
|
constant wb_master_in_idle_c : wishbone_master_in_t := (
|
|
dat => wishbone_data_of_unused_address_c,
|
|
tgd => (others=>'0'),
|
|
ack => '0',
|
|
err => '0',
|
|
rty => '0',
|
|
int => '0'
|
|
);
|
|
constant wb_slave_out_idle_c : wishbone_slave_out_t := wb_master_in_idle_c;
|
|
|
-- constant cc_dummy_address : std_logic_vector(wishbone_address_width_c-1 downto 0) :=(others => 'X');
|
-- constant cc_dummy_address : std_logic_vector(wishbone_address_width_c-1 downto 0) :=(others => 'X');
|
-- constant cc_dummy_data : std_logic_vector(wishbone_address_width_c-1 downto 0) := (others => 'X');
|
-- constant cc_dummy_data : std_logic_vector(wishbone_address_width_c-1 downto 0) := (others => 'X');
|
-- constant cc_dummy_sel : std_logic_vector(wishbone_data_width_c/8-1 downto 0) := (others => 'X');
|
-- constant cc_dummy_sel : std_logic_vector(wishbone_data_width_c/8-1 downto 0) := (others => 'X');
|
-- constant cc_dummy_slave_in : wishbone_slave_in_t :=('0', '0', cc_dummy_address, cc_dummy_sel, 'X', cc_dummy_data);
|
-- constant cc_dummy_slave_in : wishbone_slave_in_t :=('0', '0', cc_dummy_address, cc_dummy_sel, 'X', cc_dummy_data);
|