OpenCores
URL https://opencores.org/ocsvn/viterbi_decoder_axi4s/viterbi_decoder_axi4s/trunk

Subversion Repositories viterbi_decoder_axi4s

[/] [viterbi_decoder_axi4s/] [trunk/] [packages/] [pkg_param_derived.vhd] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 26... Line 26...
 
 
        -- Calculation of constraint length.
        -- Calculation of constraint length.
        function calc_constraint_length return natural;
        function calc_constraint_length return natural;
 
 
        -- Memory depth of the encoder shift register.
        -- Memory depth of the encoder shift register.
        constant ENCODER_MEMORY_DEPTH : natural := calc_constraint_length - 1;
        constant ENCODER_MEMORY_DEPTH : natural;
 
 
        -- Number of trellis states corresponds to the nubmer of ACS units.
        -- Number of trellis states corresponds to the nubmer of ACS units.
        constant NUMBER_TRELLIS_STATES : natural := 2 ** ENCODER_MEMORY_DEPTH;
        constant NUMBER_TRELLIS_STATES : natural;
 
 
        -- Number of branch units for a single polynomial set
        -- Number of branch units for a single polynomial set
        constant NUMBER_BRANCH_UNITS : natural := 2 ** NUMBER_PARITY_BITS;
        constant NUMBER_BRANCH_UNITS : natural;
 
 
        -- Bitwidth constants are needed for type conversions
        -- Bitwidth constants are needed for type conversions
        constant BW_TRELLIS_STATES    : natural := no_bits_natural(NUMBER_TRELLIS_STATES - 1);
        constant BW_TRELLIS_STATES    : natural;
        constant BW_MAX_WINDOW_LENGTH : natural := no_bits_natural(MAX_WINDOW_LENGTH - 1);
        constant BW_MAX_WINDOW_LENGTH : natural;
        constant BW_BRANCH_RESULT     : natural := no_bits_natural((2 ** (BW_LLR_INPUT - 1)) * NUMBER_PARITY_BITS) + 1;
        constant BW_BRANCH_RESULT     : natural;
        constant BW_MAX_PROBABILITY   : natural := no_bits_natural(((2 ** (BW_LLR_INPUT - 1)) * NUMBER_PARITY_BITS) * 4 * ENCODER_MEMORY_DEPTH);
        constant BW_MAX_PROBABILITY   : natural;
 
 
end package pkg_param_derived;
end package pkg_param_derived;
 
 
 
 
package body pkg_param_derived is
package body pkg_param_derived is
Line 57... Line 57...
                end loop;
                end loop;
                v_maximum := max(v_maximum, no_bits_natural(FEEDBACK_POLYNOMIAL));
                v_maximum := max(v_maximum, no_bits_natural(FEEDBACK_POLYNOMIAL));
                return v_maximum;
                return v_maximum;
        end function calc_constraint_length;
        end function calc_constraint_length;
 
 
 
 
 
        constant ENCODER_MEMORY_DEPTH : natural := calc_constraint_length - 1;
 
 
 
        constant NUMBER_TRELLIS_STATES : natural := 2 ** ENCODER_MEMORY_DEPTH;
 
 
 
        constant NUMBER_BRANCH_UNITS : natural := 2 ** NUMBER_PARITY_BITS;
 
 
 
        constant BW_TRELLIS_STATES    : natural := no_bits_natural(NUMBER_TRELLIS_STATES - 1);
 
        constant BW_MAX_WINDOW_LENGTH : natural := no_bits_natural(MAX_WINDOW_LENGTH - 1);
 
        constant BW_BRANCH_RESULT     : natural := no_bits_natural((2 ** (BW_LLR_INPUT - 1)) * NUMBER_PARITY_BITS) + 1;
 
        constant BW_MAX_PROBABILITY   : natural := no_bits_natural(((2 ** (BW_LLR_INPUT - 1)) * NUMBER_PARITY_BITS) * 4 * ENCODER_MEMORY_DEPTH);
end package body pkg_param_derived;
end package body pkg_param_derived;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.