Line 1... |
Line 1... |
-- $Id: sys_w11a_n2.vhd 404 2011-08-07 22:00:25Z mueller $
|
-- $Id: sys_w11a_n2.vhd 427 2011-11-19 21:04:11Z mueller $
|
--
|
--
|
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
|
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
|
--
|
--
|
-- This program is free software; you may redistribute and/or modify it under
|
-- This program is free software; you may redistribute and/or modify it under
|
-- the terms of the GNU General Public License as published by the Free
|
-- the terms of the GNU General Public License as published by the Free
|
Line 13... |
Line 13... |
--
|
--
|
------------------------------------------------------------------------------
|
------------------------------------------------------------------------------
|
-- Module Name: sys_w11a_n2 - syn
|
-- Module Name: sys_w11a_n2 - syn
|
-- Description: w11a test design for nexys2
|
-- Description: w11a test design for nexys2
|
--
|
--
|
-- Dependencies: vlib/xlib/dcm_sp_sfs
|
-- Dependencies: vlib/xlib/dcm_sfs
|
-- vlib/genlib/clkdivce
|
-- vlib/genlib/clkdivce
|
-- bplib/bpgen/bp_rs232_2l4l_iob
|
-- bplib/bpgen/bp_rs232_2l4l_iob
|
-- bplib/bpgen/sn_humanio_rbus
|
-- bplib/bpgen/sn_humanio_rbus
|
-- vlib/rlink/rlink_base_serport
|
-- vlib/rlink/rlink_base_serport
|
-- vlib/rri/rb_sres_or_3
|
-- vlib/rri/rb_sres_or_3
|
Line 34... |
Line 34... |
-- w11a/pdp11_tmu_sb [sim only]
|
-- w11a/pdp11_tmu_sb [sim only]
|
--
|
--
|
-- Test bench: tb/tb_sys_w11a_n2
|
-- Test bench: tb/tb_sys_w11a_n2
|
--
|
--
|
-- Target Devices: generic
|
-- Target Devices: generic
|
-- Tool versions: xst 8.2, 9.1, 9.2, 10.1, 11.4, 12.1; ghdl 0.26-0.29
|
-- Tool versions: xst 8.2, 9.1, 9.2, 10.1, 11.4, 12.1, 13.1; ghdl 0.26-0.29
|
--
|
--
|
-- Synthesized (xst):
|
-- Synthesized (xst):
|
-- Date Rev ise Target flop lutl lutm slic t peri
|
-- Date Rev ise Target flop lutl lutm slic t peri
|
|
-- 2011-11-18 427 13.1 O40d xc3s1200e-4 1433 4374 242 2680 ok: LP+PC+DL+II
|
-- 2010-12-30 351 12.1 M53d xc3s1200e-4 1389 4368 242 2674 ok: LP+PC+DL+II
|
-- 2010-12-30 351 12.1 M53d xc3s1200e-4 1389 4368 242 2674 ok: LP+PC+DL+II
|
-- 2010-11-06 336 12.1 M53d xc3s1200e-4 1357 4304* 242 2618 ok: LP+PC+DL+II
|
-- 2010-11-06 336 12.1 M53d xc3s1200e-4 1357 4304* 242 2618 ok: LP+PC+DL+II
|
-- 2010-10-24 335 12.1 M53d xc3s1200e-4 1357 4546 242 2618 ok: LP+PC+DL+II
|
-- 2010-10-24 335 12.1 M53d xc3s1200e-4 1357 4546 242 2618 ok: LP+PC+DL+II
|
-- 2010-10-17 333 12.1 M53d xc3s1200e-4 1350 4541 242 2617 ok: LP+PC+DL+II
|
-- 2010-10-17 333 12.1 M53d xc3s1200e-4 1350 4541 242 2617 ok: LP+PC+DL+II
|
-- 2010-10-16 332 12.1 M53d xc3s1200e-4 1338 4545 242 2629 ok: LP+PC+DL+II
|
-- 2010-10-16 332 12.1 M53d xc3s1200e-4 1338 4545 242 2629 ok: LP+PC+DL+II
|
Line 60... |
Line 61... |
-- 2010-05-26 296 11.4 L68 xc3s1200e-4 1284 4079 224 2492 ok: LP+PC+DL+II
|
-- 2010-05-26 296 11.4 L68 xc3s1200e-4 1284 4079 224 2492 ok: LP+PC+DL+II
|
-- Note: till 2010-10-24 lutm included 'route-thru', after only logic
|
-- Note: till 2010-10-24 lutm included 'route-thru', after only logic
|
--
|
--
|
-- Revision History:
|
-- Revision History:
|
-- Date Rev Version Comment
|
-- Date Rev Version Comment
|
|
-- 2011-11-19 427 1.2.4 now numeric_std clean
|
|
-- 2011-11-17 426 1.2.3 use dcm_sfs now
|
-- 2011-07-09 391 1.2.2 use now bp_rs232_2l4l_iob
|
-- 2011-07-09 391 1.2.2 use now bp_rs232_2l4l_iob
|
-- 2011-07-08 390 1.2.1 use now sn_humanio
|
-- 2011-07-08 390 1.2.1 use now sn_humanio
|
-- 2010-12-30 351 1.2 ported to rbv3
|
-- 2010-12-30 351 1.2 ported to rbv3
|
-- 2010-11-27 341 1.1.8 add DCM; new sys_conf consts for mem and clkdiv
|
-- 2010-11-27 341 1.1.8 add DCM; new sys_conf consts for mem and clkdiv
|
-- 2010-11-13 338 1.1.7 add O_CLKSYS (for DCM derived system clock)
|
-- 2010-11-13 338 1.1.7 add O_CLKSYS (for DCM derived system clock)
|
Line 109... |
Line 112... |
-- DP(2): TXSD (inverted to signal activity)
|
-- DP(2): TXSD (inverted to signal activity)
|
-- DP(3): CTS_N (shows tx back preasure)
|
-- DP(3): CTS_N (shows tx back preasure)
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_arith.all;
|
use ieee.numeric_std.all;
|
|
|
use work.slvtypes.all;
|
use work.slvtypes.all;
|
use work.xlib.all;
|
use work.xlib.all;
|
use work.genlib.all;
|
use work.genlib.all;
|
use work.rblib.all;
|
use work.rblib.all;
|
Line 239... |
Line 242... |
|
|
assert (sys_conf_clksys mod 1000000) = 0
|
assert (sys_conf_clksys mod 1000000) = 0
|
report "assert sys_conf_clksys on MHz grid"
|
report "assert sys_conf_clksys on MHz grid"
|
severity failure;
|
severity failure;
|
|
|
DCM : dcm_sp_sfs
|
DCM : dcm_sfs
|
generic map (
|
generic map (
|
CLKFX_DIVIDE => sys_conf_clkfx_divide,
|
CLKFX_DIVIDE => sys_conf_clkfx_divide,
|
CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
|
CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
|
CLKIN_PERIOD => 20.0)
|
CLKIN_PERIOD => 20.0)
|
port map (
|
port map (
|