OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [comlib/] [crc8.vhd] - Diff between revs 2 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 12
Line 1... Line 1...
-- $Id: crc8.vhd 314 2010-07-09 17:38:41Z mueller $
-- $Id: crc8.vhd 406 2011-08-14 21:06:44Z mueller $
--
--
-- Copyright 2007- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
-- Software Foundation, either version 2, or at your option any later version.
--
--
Line 29... Line 29...
--                 little larger than with xor's.
--                 little larger than with xor's.
--
--
-- Dependencies:   -
-- Dependencies:   -
-- Test bench:     -
-- Test bench:     -
-- Target Devices: generic
-- Target Devices: generic
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2,.., 13.1; ghdl 0.18-0.25
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2011-08-14   406   1.0.1  remove superfluous variable r
-- 2007-07-08    65   1.0    Initial version 
-- 2007-07-08    65   1.0    Initial version 
------------------------------------------------------------------------------
------------------------------------------------------------------------------
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
Line 76... Line 77...
    end if;
    end if;
 
 
  end process proc_regs;
  end process proc_regs;
 
 
  proc_next: process (R_CRC, DI, ENA)
  proc_next: process (R_CRC, DI, ENA)
 
 
    variable r : slv8 := INIT;
 
    variable n : slv8 := INIT;
    variable n : slv8 := INIT;
 
 
  begin
  begin
 
 
    r := R_CRC;
 
    n := R_CRC;
    n := R_CRC;
 
 
    if ENA = '1' then
    if ENA = '1' then
      crc8_update(n, DI);
      crc8_update(n, DI);
    end if;
    end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.