OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [rbus/] [rb_mon_sb.vhd] - Diff between revs 9 and 17

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 17
Line 1... Line 1...
-- $Id: rb_mon_sb.vhd 346 2010-12-22 22:59:26Z mueller $
-- $Id: rb_mon_sb.vhd 444 2011-12-25 10:04:58Z mueller $
--
--
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
-- Software Foundation, either version 2, or at your option any later version.
--
--
Line 14... Line 14...
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Module Name:    rb_mon_sb - sim
-- Module Name:    rb_mon_sb - sim
-- Description:    simbus wrapper for rbus monitor (for tb's)
-- Description:    simbus wrapper for rbus monitor (for tb's)
--
--
-- Dependencies:   simbus
-- Dependencies:   simbus
 
--                 simlib/simclkcnt
 
--                 rb_mon
-- Test bench:     -
-- Test bench:     -
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
-- Tool versions:  xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29
--
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2011-12-23   444   3.1    use simclkcnt instead of simbus global
-- 2010-12-22   346   3.0    renamed rritb_rbmon_sb -> rb_mon_sb
-- 2010-12-22   346   3.0    renamed rritb_rbmon_sb -> rb_mon_sb
-- 2010-06-05   301   2.0.2  renamed _rpmon -> _rbmon
-- 2010-06-05   301   2.0.2  renamed _rpmon -> _rbmon
-- 2010-05-02   287   2.0.1  rename RP_STAT->RB_STAT,AP_LAM->RB_LAM
-- 2010-05-02   287   2.0.1  rename RP_STAT->RB_STAT,AP_LAM->RB_LAM
--                           drop RP_IINT signal from interfaces
--                           drop RP_IINT signal from interfaces
--                           use sbcntl_sbf_cpmon def
--                           use sbcntl_sbf_cpmon def
Line 55... Line 58...
 
 
 
 
architecture sim of rb_mon_sb is
architecture sim of rb_mon_sb is
 
 
  signal ENA : slbit := '0';
  signal ENA : slbit := '0';
 
  signal CLK_CYCLE : integer := 0;
 
 
begin
begin
 
 
  assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
  assert ENAPIN>=SB_CNTL'low and ENAPIN<=SB_CNTL'high
    report "assert(ENAPIN in SB_CNTL'range)" severity failure;
    report "assert(ENAPIN in SB_CNTL'range)" severity failure;
 
 
 
  CLKCNT : simclkcnt port map (CLK => CLK, CLK_CYCLE => CLK_CYCLE);
 
 
  ENA <= to_x01(SB_CNTL(ENAPIN));
  ENA <= to_x01(SB_CNTL(ENAPIN));
 
 
  RBMON : rb_mon
  RBMON : rb_mon
    generic map (
    generic map (
      DBASE => DBASE)
      DBASE => DBASE)
    port map (
    port map (
      CLK       => CLK,
      CLK       => CLK,
      CLK_CYCLE => SB_CLKCYCLE,
      CLK_CYCLE => CLK_CYCLE,
      ENA       => ENA,
      ENA       => ENA,
      RB_MREQ   => RB_MREQ,
      RB_MREQ   => RB_MREQ,
      RB_SRES   => RB_SRES,
      RB_SRES   => RB_SRES,
      RB_LAM    => RB_LAM,
      RB_LAM    => RB_LAM,
      RB_STAT   => RB_STAT
      RB_STAT   => RB_STAT

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.