OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [rlink/] [rlinklib.vhd] - Diff between revs 12 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 13
Line 1... Line 1...
-- $Id: rlinklib.vhd 389 2011-07-07 21:59:00Z mueller $
-- $Id: rlinklib.vhd 427 2011-11-19 21:04:11Z mueller $
--
--
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
-- Software Foundation, either version 2, or at your option any later version.
--
--
Line 14... Line 14...
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Package Name:   rlinklib
-- Package Name:   rlinklib
-- Description:    Definitions for rlink interface and bus entities
-- Description:    Definitions for rlink interface and bus entities
--
--
-- Dependencies:   -
-- Dependencies:   -
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 11.4, 12.1; ghdl 0.18-0.29
-- Tool versions:  xst 8.2, 9.1, 9.2, 11.4, 12.1, 13.1; ghdl 0.18-0.29
--
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2011-11-18   427   3.1.3  now numeric_std clean
-- 2010-12-25   348   3.1.2  drop RL_FLUSH support, add RL_MONI for rlink_core;
-- 2010-12-25   348   3.1.2  drop RL_FLUSH support, add RL_MONI for rlink_core;
--                           new rlink_serport interface;
--                           new rlink_serport interface;
--                           rename rlink_core_serport->rlink_base_serport
--                           rename rlink_core_serport->rlink_base_serport
-- 2010-12-24   347   3.1.1  rename: CP_*->RL->*
-- 2010-12-24   347   3.1.1  rename: CP_*->RL->*
-- 2010-12-22   346   3.1    rename: [cd]crc->[cd]err, ioto->rbnak, ioerr->rberr
-- 2010-12-22   346   3.1    rename: [cd]crc->[cd]err, ioto->rbnak, ioerr->rberr
Line 45... Line 46...
-- 2007-09-09    81   1.0    Initial version 
-- 2007-09-09    81   1.0    Initial version 
------------------------------------------------------------------------------
------------------------------------------------------------------------------
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
 
 
use work.slvtypes.all;
use work.slvtypes.all;
use work.rblib.all;
use work.rblib.all;
 
 
package rlinklib is
package rlinklib is
Line 92... Line 93...
end record rl_moni_type;
end record rl_moni_type;
 
 
constant rl_moni_init : rl_moni_type :=
constant rl_moni_init : rl_moni_type :=
  ('0','0','0');                        -- eop,attn,lamp
  ('0','0','0');                        -- eop,attn,lamp
 
 
 
-- ise 13.1 xst can bug check if generic defaults in a package are defined via 
 
-- 'slv(to_unsigned())'. The conv_ construct prior to numeric_std was ok.
 
-- As workaround the ibus default addresses are defined here as constant.
 
constant rbaddr_rlink_serport : slv8 := slv(to_unsigned(2#11111110#,8));
 
 
component rlink_core is                 -- rlink core with 9bit iface
component rlink_core is                 -- rlink core with 9bit iface
  generic (
  generic (
    ATOWIDTH : positive :=  5;          -- access timeout counter width
    ATOWIDTH : positive :=  5;          -- access timeout counter width
    ITOWIDTH : positive :=  6);         -- idle timeout counter width
    ITOWIDTH : positive :=  6);         -- idle timeout counter width
  port (
  port (
Line 212... Line 218...
subtype  c_rlink_serport_rbf_rtsoff is integer range  5 downto 3; -- 
subtype  c_rlink_serport_rbf_rtsoff is integer range  5 downto 3; -- 
subtype  c_rlink_serport_rbf_rtson  is integer range  2 downto 0; -- 
subtype  c_rlink_serport_rbf_rtson  is integer range  2 downto 0; -- 
 
 
component rlink_serport is              -- rlink serport adapter
component rlink_serport is              -- rlink serport adapter
  generic (
  generic (
    RB_ADDR : slv8 := conv_std_logic_vector(2#11111110#,8);
    RB_ADDR : slv8 := rbaddr_rlink_serport;
    CDWIDTH : positive := 13;           -- clk divider width
    CDWIDTH : positive := 13;           -- clk divider width
    CDINIT : natural   := 15);          -- clk divider initial/reset setting
    CDINIT : natural   := 15);          -- clk divider initial/reset setting
  port (
  port (
    CLK  : in slbit;                    -- clock
    CLK  : in slbit;                    -- clock
    CE_USEC : in slbit;                 -- 1 usec clock enable
    CE_USEC : in slbit;                 -- 1 usec clock enable
Line 246... Line 252...
    CPREF : slv4 := c_rlink_cpref;      -- comma prefix
    CPREF : slv4 := c_rlink_cpref;      -- comma prefix
    IFAWIDTH : natural :=  5;           -- input fifo address width  (0=none)
    IFAWIDTH : natural :=  5;           -- input fifo address width  (0=none)
    OFAWIDTH : natural :=  5;           -- output fifo address width (0=none)
    OFAWIDTH : natural :=  5;           -- output fifo address width (0=none)
    ENAPIN_RLMON : integer := sbcntl_sbf_rlmon;  -- SB_CNTL for rlmon (-1=none)
    ENAPIN_RLMON : integer := sbcntl_sbf_rlmon;  -- SB_CNTL for rlmon (-1=none)
    ENAPIN_RBMON : integer := sbcntl_sbf_rbmon;  -- SB_CNTL for rbmon (-1=none)
    ENAPIN_RBMON : integer := sbcntl_sbf_rbmon;  -- SB_CNTL for rbmon (-1=none)
    RB_ADDR : slv8 := conv_std_logic_vector(2#11111110#,8);
    RB_ADDR : slv8 := rbaddr_rlink_serport;
    CDWIDTH : positive := 13;           -- clk divider width
    CDWIDTH : positive := 13;           -- clk divider width
    CDINIT : natural   := 15);          -- clk divider initial/reset setting
    CDINIT : natural   := 15);          -- clk divider initial/reset setting
  port (
  port (
    CLK  : in slbit;                    -- clock
    CLK  : in slbit;                    -- clock
    CE_USEC : in slbit;                 -- 1 usec clock enable
    CE_USEC : in slbit;                 -- 1 usec clock enable

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.