OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [vlib/] [rlink/] [rlinklib.vhd] - Diff between revs 17 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 17 Rev 19
Line 1... Line 1...
-- $Id: rlinklib.vhd 466 2012-12-30 13:26:55Z mueller $
-- $Id: rlinklib.vhd 495 2013-03-06 17:13:48Z mueller $
--
--
-- Copyright 2007-2012 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2007-2012 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
Line 54... Line 54...
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_std.all;
 
 
use work.slvtypes.all;
use work.slvtypes.all;
use work.rblib.all;
use work.rblib.all;
use work.serport.all;
use work.serportlib.all;
 
 
package rlinklib is
package rlinklib is
 
 
constant c_rlink_cpref : slv4 := "1000";  -- default comma prefix
constant c_rlink_cpref : slv4 := "1000";  -- default comma prefix
constant c_rlink_ncomm : positive := 4;   -- number commas (sop,eop,nak,attn)
constant c_rlink_ncomm : positive := 4;   -- number commas (sop,eop,nak,attn)
Line 77... Line 77...
constant c_rlink_cmd_attn : slv3 := "101";
constant c_rlink_cmd_attn : slv3 := "101";
constant c_rlink_cmd_init : slv3 := "110";
constant c_rlink_cmd_init : slv3 := "110";
 
 
constant c_rlink_iint_rbf_anena:    integer := 15;         -- anena flag
constant c_rlink_iint_rbf_anena:    integer := 15;         -- anena flag
constant c_rlink_iint_rbf_itoena:   integer := 14;         -- itoena flag
constant c_rlink_iint_rbf_itoena:   integer := 14;         -- itoena flag
subtype  c_rlink_iint_rbf_itoval is integer range 7 downto 0; -- command code
subtype  c_rlink_iint_rbf_itoval is integer range 7 downto 0; -- itoval value
 
 
subtype  c_rlink_cmd_rbf_seq is  integer range 7 downto 3; -- sequence number
subtype  c_rlink_cmd_rbf_seq is  integer range 7 downto 3; -- sequence number
subtype  c_rlink_cmd_rbf_code is integer range 2 downto 0; -- command code
subtype  c_rlink_cmd_rbf_code is integer range 2 downto 0; -- command code
 
 
subtype  c_rlink_stat_rbf_stat is integer range 7 downto 5;  -- ext status bits
subtype  c_rlink_stat_rbf_stat is integer range 7 downto 5;  -- ext status bits

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.