OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [w11a/] [pdp11_mmu_ssr12.vhd] - Diff between revs 8 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 13
Line 1... Line 1...
-- $Id: pdp11_mmu_ssr12.vhd 335 2010-10-24 22:24:23Z mueller $
-- $Id: pdp11_mmu_ssr12.vhd 427 2011-11-19 21:04:11Z mueller $
--
--
-- Copyright 2006-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2006-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
-- Software Foundation, either version 2, or at your option any later version.
--
--
Line 16... Line 16...
-- Description:    pdp11: mmu register ssr1 and ssr2
-- Description:    pdp11: mmu register ssr1 and ssr2
--
--
-- Dependencies:   ib_sel
-- Dependencies:   ib_sel
-- Test bench:     tb/tb_pdp11_core (implicit)
-- Test bench:     tb/tb_pdp11_core (implicit)
-- Target Devices: generic
-- Target Devices: generic
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 12.1; ghdl 0.18-0.29
-- Tool versions:  xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
-- 
-- 
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2011-11-18   427   1.2.2  now numeric_std clean
-- 2010-10-23   335   1.2.1  use ib_sel
-- 2010-10-23   335   1.2.1  use ib_sel
-- 2010-10-17   333   1.2    use ibus V2 interface
-- 2010-10-17   333   1.2    use ibus V2 interface
-- 2009-05-30   220   1.1.4  final removal of snoopers (were already commented)
-- 2009-05-30   220   1.1.4  final removal of snoopers (were already commented)
-- 2008-08-22   161   1.1.3  rename ubf_ -> ibf_; use iblib
-- 2008-08-22   161   1.1.3  rename ubf_ -> ibf_; use iblib
-- 2008-03-02   121   1.1.2  remove snoopers
-- 2008-03-02   121   1.1.2  remove snoopers
Line 33... Line 34...
-- 2007-05-12    26   1.0    Initial version 
-- 2007-05-12    26   1.0    Initial version 
------------------------------------------------------------------------------
------------------------------------------------------------------------------
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
 
 
use work.slvtypes.all;
use work.slvtypes.all;
use work.iblib.all;
use work.iblib.all;
use work.pdp11.all;
use work.pdp11.all;
 
 
Line 54... Line 55...
  );
  );
end pdp11_mmu_ssr12;
end pdp11_mmu_ssr12;
 
 
architecture syn of pdp11_mmu_ssr12 is
architecture syn of pdp11_mmu_ssr12 is
 
 
  constant ibaddr_ssr1 : slv16 := conv_std_logic_vector(8#177574#,16);
  constant ibaddr_ssr1 : slv16 := slv(to_unsigned(8#177574#,16));
  constant ibaddr_ssr2 : slv16 := conv_std_logic_vector(8#177576#,16);
  constant ibaddr_ssr2 : slv16 := slv(to_unsigned(8#177576#,16));
 
 
  subtype ssr1_ibf_rb_delta is integer range 15 downto 11;
  subtype ssr1_ibf_rb_delta is integer range 15 downto 11;
  subtype ssr1_ibf_rb_num is integer range 10 downto 8;
  subtype ssr1_ibf_rb_num is integer range 10 downto 8;
  subtype ssr1_ibf_ra_delta is integer range 7 downto 3;
  subtype ssr1_ibf_ra_delta is integer range 7 downto 3;
  subtype ssr1_ibf_ra_num is integer range 2 downto 0;
  subtype ssr1_ibf_ra_num is integer range 2 downto 0;
Line 115... Line 116...
 
 
  end process proc_ibres;
  end process proc_ibres;
 
 
  proc_regs : process (CLK)
  proc_regs : process (CLK)
  begin
  begin
    if CLK'event and CLK='1' then
    if rising_edge(CLK) then
      R_SSR1 <= N_SSR1;
      R_SSR1 <= N_SSR1;
      R_SSR2 <= N_SSR2;
      R_SSR2 <= N_SSR2;
    end if;
    end if;
  end process proc_regs;
  end process proc_regs;
 
 
Line 165... Line 166...
 
 
      elsif MONI.regmod = '1' then
      elsif MONI.regmod = '1' then
        if use_rb = '0' then
        if use_rb = '0' then
          nssr1.ra_num := MONI.regnum;
          nssr1.ra_num := MONI.regnum;
          if MONI.isdec = '0' then
          if MONI.isdec = '0' then
            nssr1.ra_delta := signed(nssr1.ra_delta) + signed(delta);
            nssr1.ra_delta := slv(signed(nssr1.ra_delta) + signed(delta));
          else
          else
            nssr1.ra_delta := signed(nssr1.ra_delta) - signed(delta);
            nssr1.ra_delta := slv(signed(nssr1.ra_delta) - signed(delta));
          end if;
          end if;
        else
        else
          nssr1.rb_num := MONI.regnum;
          nssr1.rb_num := MONI.regnum;
          if MONI.isdec = '0' then
          if MONI.isdec = '0' then
            nssr1.rb_delta := signed(nssr1.rb_delta) + signed(delta);
            nssr1.rb_delta := slv(signed(nssr1.rb_delta) + signed(delta));
          else
          else
            nssr1.rb_delta := signed(nssr1.rb_delta) - signed(delta);
            nssr1.rb_delta := slv(signed(nssr1.rb_delta) - signed(delta));
          end if;
          end if;
        end if;
        end if;
      end if;
      end if;
 
 
    end if;
    end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.