OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.6/] [rtl/] [w11a/] [pdp11_sys70.vhd] - Diff between revs 8 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 13
Line 1... Line 1...
-- $Id: pdp11_sys70.vhd 333 2010-10-17 21:18:33Z mueller $
-- $Id: pdp11_sys70.vhd 427 2011-11-19 21:04:11Z mueller $
--
--
-- Copyright 2008-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2008-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
-- Software Foundation, either version 2, or at your option any later version.
--
--
Line 16... Line 16...
-- Description:    pdp11: 11/70 system registers
-- Description:    pdp11: 11/70 system registers
--
--
-- Dependencies:   -
-- Dependencies:   -
-- Test bench:     tb/tb_pdp11_core (implicit)
-- Test bench:     tb/tb_pdp11_core (implicit)
-- Target Devices: generic
-- Target Devices: generic
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 12.1; ghdl 0.18-0.29
-- Tool versions:  xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
--
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2011-11-18   427   1.1.1  now numeric_std clean
-- 2010-10-17   333   1.1    use ibus V2 interface
-- 2010-10-17   333   1.1    use ibus V2 interface
-- 2008-08-22   161   1.0.1  use iblib
-- 2008-08-22   161   1.0.1  use iblib
-- 2008-04-20   137   1.0    Initial version 
-- 2008-04-20   137   1.0    Initial version 
------------------------------------------------------------------------------
------------------------------------------------------------------------------
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
 
 
use work.slvtypes.all;
use work.slvtypes.all;
use work.pdp11.all;
use work.pdp11.all;
use work.iblib.all;
use work.iblib.all;
use work.sys_conf.all;
use work.sys_conf.all;
Line 47... Line 48...
  );
  );
end pdp11_sys70;
end pdp11_sys70;
 
 
architecture syn of pdp11_sys70 is
architecture syn of pdp11_sys70 is
 
 
  constant ibaddr_mbrk   : slv16 := conv_std_logic_vector(8#177770#,16);
  constant ibaddr_mbrk   : slv16 := slv(to_unsigned(8#177770#,16));
  constant ibaddr_sysid  : slv16 := conv_std_logic_vector(8#177764#,16);
  constant ibaddr_sysid  : slv16 := slv(to_unsigned(8#177764#,16));
 
 
  type regs_type is record              -- state registers
  type regs_type is record              -- state registers
    ibsel_mbrk : slbit;                 -- ibus select mbrk
    ibsel_mbrk : slbit;                 -- ibus select mbrk
    ibsel_sysid : slbit;                -- ibus select sysid
    ibsel_sysid : slbit;                -- ibus select sysid
    mbrk    : slv8;                     -- status of mbrk register
    mbrk    : slv8;                     -- status of mbrk register
Line 68... Line 69...
 
 
begin
begin
 
 
  proc_regs: process (CLK)
  proc_regs: process (CLK)
  begin
  begin
    if CLK'event and CLK='1' then
    if rising_edge(CLK) then
      if CRESET = '1' then
      if CRESET = '1' then
        R_REGS <= regs_init;
        R_REGS <= regs_init;
     else
     else
        R_REGS <= N_REGS;
        R_REGS <= N_REGS;
      end if;
      end if;
Line 109... Line 110...
    -- ibus transactions
    -- ibus transactions
    if r.ibsel_mbrk = '1' then
    if r.ibsel_mbrk = '1' then
      idout(r.mbrk'range) := r.mbrk;
      idout(r.mbrk'range) := r.mbrk;
    end if;
    end if;
    if r.ibsel_sysid = '1' then
    if r.ibsel_sysid = '1' then
      idout := conv_std_logic_vector(8#123456#,16);
      idout := slv(to_unsigned(8#123456#,16));
    end if;
    end if;
 
 
    if r.ibsel_mbrk='1' and ibw0='1' then
    if r.ibsel_mbrk='1' and ibw0='1' then
      n.mbrk := IB_MREQ.din(n.mbrk'range);
      n.mbrk := IB_MREQ.din(n.mbrk'range);
    end if;
    end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.