OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.61/] [rtl/] [ibus/] [ibdr_minisys.vhd] - Diff between revs 2 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 8
Line 1... Line 1...
-- $Id: ibdr_minisys.vhd 314 2010-07-09 17:38:41Z mueller $
-- $Id: ibdr_minisys.vhd 335 2010-10-24 22:24:23Z mueller $
--
--
-- Copyright 2008-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2008-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
Line 21... Line 21...
--                 ibdr_rk11
--                 ibdr_rk11
--                 ib_sres_or_4
--                 ib_sres_or_4
--                 ib_intmap
--                 ib_intmap
-- Test bench:     -
-- Test bench:     -
-- Target Devices: generic
-- Target Devices: generic
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 12.1; ghdl 0.18-0.29
 
--
 
-- Synthesized (xst):
 
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
 
-- 2010-10-17   333  12.1    M53 xc3s1000-4   128  469   16  265 s  7.8
 
-- 2010-10-17   314  12.1    M53 xc3s1000-4   122  472   16  269 s  7.6
 
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2010-10-23   335   1.1.1  rename RRI_LAM->RB_LAM;
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
-- 2009-07-12   233   1.0.7  reorder ports, add CE_USEC; add RESET and CE_USEC
-- 2009-07-12   233   1.0.7  reorder ports, add CE_USEC; add RESET and CE_USEC
--                           to _dl11
--                           to _dl11
-- 2009-05-31   221   1.0.6  add RESET to kw11l;
-- 2009-05-31   221   1.0.6  add RESET to kw11l;
-- 2009-05-24   219   1.0.5  _rk11 uses now CE_MSEC
-- 2009-05-24   219   1.0.5  _rk11 uses now CE_MSEC
Line 63... Line 70...
    CLK : in slbit;                     -- clock
    CLK : in slbit;                     -- clock
    CE_USEC : in slbit;                 -- usec pulse
    CE_USEC : in slbit;                 -- usec pulse
    CE_MSEC : in slbit;                 -- msec pulse
    CE_MSEC : in slbit;                 -- msec pulse
    RESET : in slbit;                   -- reset
    RESET : in slbit;                   -- reset
    BRESET : in slbit;                  -- ibus reset
    BRESET : in slbit;                  -- ibus reset
    RRI_LAM : out slv16_1;              -- remote attention vector
    RB_LAM : out slv16_1;               -- remote attention vector
    IB_MREQ : in ib_mreq_type;          -- ibus request
    IB_MREQ : in ib_mreq_type;          -- ibus request
    IB_SRES : out ib_sres_type;         -- ibus response
    IB_SRES : out ib_sres_type;         -- ibus response
    EI_ACKM : in slbit;                 -- interrupt acknowledge (from master)
    EI_ACKM : in slbit;                 -- interrupt acknowledge (from master)
    EI_PRI : out slv3;                  -- interrupt priority (to cpu)
    EI_PRI : out slv3;                  -- interrupt priority (to cpu)
    EI_VECT : out slv9_2;               -- interrupt vector   (to cpu)
    EI_VECT : out slv9_2;               -- interrupt vector   (to cpu)
Line 94... Line 101...
     (8#060#,4),                        -- line  2  DL11-RX
     (8#060#,4),                        -- line  2  DL11-RX
     (8#064#,4),                        -- line  1  DL11-TX
     (8#064#,4),                        -- line  1  DL11-TX
     intmap_init                        -- line  0
     intmap_init                        -- line  0
     );
     );
 
 
  signal RRI_LAM_DL11 : slbit := '0';
  signal RB_LAM_DL11 : slbit := '0';
  signal RRI_LAM_RK11 : slbit := '0';
  signal RB_LAM_RK11 : slbit := '0';
 
 
  signal IB_SRES_SDREG : ib_sres_type := ib_sres_init;
  signal IB_SRES_SDREG : ib_sres_type := ib_sres_init;
  signal IB_SRES_KW11L : ib_sres_type := ib_sres_init;
  signal IB_SRES_KW11L : ib_sres_type := ib_sres_init;
  signal IB_SRES_DL11  : ib_sres_type := ib_sres_init;
  signal IB_SRES_DL11  : ib_sres_type := ib_sres_init;
  signal IB_SRES_RK11  : ib_sres_type := ib_sres_init;
  signal IB_SRES_RK11  : ib_sres_type := ib_sres_init;
Line 144... Line 151...
    port map (
    port map (
      CLK       => CLK,
      CLK       => CLK,
      CE_USEC   => CE_USEC,
      CE_USEC   => CE_USEC,
      RESET     => RESET,
      RESET     => RESET,
      BRESET    => BRESET,
      BRESET    => BRESET,
      RRI_LAM   => RRI_LAM_DL11,
      RB_LAM    => RB_LAM_DL11,
      IB_MREQ   => IB_MREQ,
      IB_MREQ   => IB_MREQ,
      IB_SRES   => IB_SRES_DL11,
      IB_SRES   => IB_SRES_DL11,
      EI_REQ_RX => EI_REQ_DL11RX,
      EI_REQ_RX => EI_REQ_DL11RX,
      EI_REQ_TX => EI_REQ_DL11TX,
      EI_REQ_TX => EI_REQ_DL11TX,
      EI_ACK_RX => EI_ACK_DL11RX,
      EI_ACK_RX => EI_ACK_DL11RX,
Line 158... Line 165...
  RK11 : ibdr_rk11
  RK11 : ibdr_rk11
    port map (
    port map (
      CLK     => CLK,
      CLK     => CLK,
      CE_MSEC => CE_MSEC,
      CE_MSEC => CE_MSEC,
      BRESET  => BRESET,
      BRESET  => BRESET,
      RRI_LAM => RRI_LAM_RK11,
      RB_LAM  => RB_LAM_RK11,
      IB_MREQ => IB_MREQ,
      IB_MREQ => IB_MREQ,
      IB_SRES => IB_SRES_RK11,
      IB_SRES => IB_SRES_RK11,
      EI_REQ  => EI_REQ_RK11,
      EI_REQ  => EI_REQ_RK11,
      EI_ACK  => EI_ACK_RK11
      EI_ACK  => EI_ACK_RK11
    );
    );
Line 195... Line 202...
  EI_ACK_KW11L  <= EI_ACK(4);
  EI_ACK_KW11L  <= EI_ACK(4);
  EI_ACK_RK11   <= EI_ACK(3);
  EI_ACK_RK11   <= EI_ACK(3);
  EI_ACK_DL11RX <= EI_ACK(2);
  EI_ACK_DL11RX <= EI_ACK(2);
  EI_ACK_DL11TX <= EI_ACK(1);
  EI_ACK_DL11TX <= EI_ACK(1);
 
 
  RRI_LAM(1) <= RRI_LAM_DL11;
  RB_LAM(1) <= RB_LAM_DL11;
  RRI_LAM(2) <= '0';                  -- for 2nd DL11
  RB_LAM(2) <= '0';                  -- for 2nd DL11
  RRI_LAM(3) <= '0';                  -- for DZ11
  RB_LAM(3) <= '0';                  -- for DZ11
  RRI_LAM(4) <= RRI_LAM_RK11;
  RB_LAM(4) <= RB_LAM_RK11;
  RRI_LAM(15 downto 5) <= (others=>'0');
  RB_LAM(15 downto 5) <= (others=>'0');
 
 
end syn;
end syn;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.