Line 1... |
Line 1... |
-- $Id: ibdr_maxisys.vhd 350 2010-12-28 16:40:11Z mueller $
|
-- $Id: ibdr_maxisys.vhd 427 2011-11-19 21:04:11Z mueller $
|
--
|
--
|
-- Copyright 2009-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
|
-- Copyright 2009-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
|
--
|
--
|
-- This program is free software; you may redistribute and/or modify it under
|
-- This program is free software; you may redistribute and/or modify it under
|
-- the terms of the GNU General Public License as published by the Free
|
-- the terms of the GNU General Public License as published by the Free
|
-- Software Foundation, either version 2, or at your option any later version.
|
-- Software Foundation, either version 2, or at your option any later version.
|
--
|
--
|
Line 25... |
Line 25... |
-- ib_sres_or_4
|
-- ib_sres_or_4
|
-- ib_sres_or_3
|
-- ib_sres_or_3
|
-- ib_intmap
|
-- ib_intmap
|
-- Test bench: -
|
-- Test bench: -
|
-- Target Devices: generic
|
-- Target Devices: generic
|
-- Tool versions: xst 8.1, 8.2, 9.1, 9.2, 12.1; ghdl 0.18-0.29
|
-- Tool versions: xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
|
--
|
--
|
-- Synthesized (xst):
|
-- Synthesized (xst):
|
-- Date Rev ise Target flop lutl lutm slic t peri
|
-- Date Rev ise Target flop lutl lutm slic t peri
|
-- 2010-10-17 333 12.1 M53d xc3s1000-4 312 1058 16 617 s 10.3
|
-- 2010-10-17 333 12.1 M53d xc3s1000-4 312 1058 16 617 s 10.3
|
-- 2010-10-17 314 12.1 M53d xc3s1000-4 300 1094 16 626 s 10.4
|
-- 2010-10-17 314 12.1 M53d xc3s1000-4 300 1094 16 626 s 10.4
|
--
|
--
|
-- Revision History:
|
-- Revision History:
|
-- Date Rev Version Comment
|
-- Date Rev Version Comment
|
|
-- 2011-11-18 427 1.1.2 now numeric_std clean
|
-- 2010-10-23 335 1.1.1 rename RRI_LAM->RB_LAM;
|
-- 2010-10-23 335 1.1.1 rename RRI_LAM->RB_LAM;
|
-- 2010-06-11 303 1.1 use IB_MREQ.racc instead of RRI_REQ
|
-- 2010-06-11 303 1.1 use IB_MREQ.racc instead of RRI_REQ
|
-- 2009-07-12 233 1.0.4 reorder ports; add RESET, CE_USEC to _dl11
|
-- 2009-07-12 233 1.0.4 reorder ports; add RESET, CE_USEC to _dl11
|
-- 2009-06-20 227 1.0.3 rename generate labels.
|
-- 2009-06-20 227 1.0.3 rename generate labels.
|
-- 2009-06-07 224 1.0.2 add iist_mreq and iist_sres interfaces
|
-- 2009-06-07 224 1.0.2 add iist_mreq and iist_sres interfaces
|
Line 70... |
Line 71... |
-- 177570 - - - - 4/3 sdreg
|
-- 177570 - - - - 4/3 sdreg
|
--
|
--
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_arith.all;
|
use ieee.numeric_std.all;
|
|
|
use work.slvtypes.all;
|
use work.slvtypes.all;
|
use work.iblib.all;
|
use work.iblib.all;
|
use work.ibdlib.all;
|
use work.ibdlib.all;
|
|
|
Line 263... |
Line 264... |
|
|
DL11_1: if true generate
|
DL11_1: if true generate
|
begin
|
begin
|
I0 : ibdr_dl11
|
I0 : ibdr_dl11
|
generic map (
|
generic map (
|
IB_ADDR => conv_std_logic_vector(8#176500#,16))
|
IB_ADDR => slv(to_unsigned(8#176500#,16)))
|
port map (
|
port map (
|
CLK => CLK,
|
CLK => CLK,
|
CE_USEC => CE_USEC,
|
CE_USEC => CE_USEC,
|
RESET => RESET,
|
RESET => RESET,
|
BRESET => BRESET,
|
BRESET => BRESET,
|