OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [ibus/] [ibdr_sdreg.vhd] - Diff between revs 2 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 8
Line 1... Line 1...
-- $Id: ibdr_sdreg.vhd 314 2010-07-09 17:38:41Z mueller $
-- $Id: ibdr_sdreg.vhd 335 2010-10-24 22:24:23Z mueller $
--
--
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2007-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
Line 16... Line 16...
-- Description:    ibus dev(rem): Switch/Display register
-- Description:    ibus dev(rem): Switch/Display register
--
--
-- Dependencies:   -
-- Dependencies:   -
-- Test bench:     -
-- Test bench:     -
-- Target Devices: generic
-- Target Devices: generic
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 10.1; ghdl 0.18-0.25
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 10.1, 12.1; ghdl 0.18-0.29
--
--
-- Synthesized (xst):
-- Synthesized (xst):
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
 
-- 2010-10-17   333  12.1    M53 xc3s1000-4    34   40    0   30 s  4.0
-- 2009-07-11   232  10.1.03 K39 xc3s1000-4    32   39    0   29 s  2.5
-- 2009-07-11   232  10.1.03 K39 xc3s1000-4    32   39    0   29 s  2.5
--
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2010-10-17   333   1.2    use ibus V2 interface
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
-- 2008-08-22   161   1.0.4  use iblib
-- 2008-08-22   161   1.0.4  use iblib
-- 2008-04-18   136   1.0.3  use RESET. Switch/Display not cleared by console
-- 2008-04-18   136   1.0.3  use RESET. Switch/Display not cleared by console
--                           reset or reset instruction, only by cpu_reset
--                           reset or reset instruction, only by cpu_reset
-- 2008-01-20   112   1.0.2  use BRESET
-- 2008-01-20   112   1.0.2  use BRESET
Line 58... Line 60...
architecture syn of ibdr_sdreg is
architecture syn of ibdr_sdreg is
 
 
  constant ibaddr_sdreg : slv16 := conv_std_logic_vector(8#177570#,16);
  constant ibaddr_sdreg : slv16 := conv_std_logic_vector(8#177570#,16);
 
 
  type regs_type is record              -- state registers
  type regs_type is record              -- state registers
 
    ibsel : slbit;                      -- ibus select
    sreg : slv16;                       -- switch register
    sreg : slv16;                       -- switch register
    dreg : slv16;                       -- display register
    dreg : slv16;                       -- display register
  end record regs_type;
  end record regs_type;
 
 
  constant regs_init : regs_type := (
  constant regs_init : regs_type := (
    (others=>'0'),
    '0',                                -- ibsel
    (others=>'0')
    (others=>'0'),                      -- sreg
 
    (others=>'0')                       -- dreg
  );
  );
 
 
  signal R_REGS : regs_type := regs_init;
  signal R_REGS : regs_type := regs_init;
  signal N_REGS : regs_type := regs_init;
  signal N_REGS : regs_type := regs_init;
 
 
Line 86... Line 90...
  end process proc_regs;
  end process proc_regs;
 
 
  proc_next : process (R_REGS, IB_MREQ)
  proc_next : process (R_REGS, IB_MREQ)
    variable r : regs_type := regs_init;
    variable r : regs_type := regs_init;
    variable n : regs_type := regs_init;
    variable n : regs_type := regs_init;
    variable ibsel : slbit := '0';
 
    variable idout : slv16 := (others=>'0');
    variable idout : slv16 := (others=>'0');
 
    variable ibreq : slbit := '0';
  begin
  begin
 
 
    r := R_REGS;
    r := R_REGS;
    n := R_REGS;
    n := R_REGS;
 
 
    ibsel := '0';
 
    idout := (others=>'0');
    idout := (others=>'0');
 
    ibreq := IB_MREQ.re or IB_MREQ.we;
 
 
    -- ibus address decoder
    -- ibus address decoder
    if IB_MREQ.req='1' and IB_MREQ.addr=ibaddr_sdreg(12 downto 1) then
    n.ibsel := '0';
      ibsel := '1';
    if IB_MREQ.aval='1' and
 
       IB_MREQ.addr=ibaddr_sdreg(12 downto 1) then
 
      n.ibsel := '1';
    end if;
    end if;
 
 
    -- ibus output driver
    -- ibus output driver
    if ibsel = '1' then
    if r.ibsel = '1' then
      if IB_MREQ.racc = '0' then
      if IB_MREQ.racc = '0' then
        idout := r.sreg;             -- cpu will read switch register
        idout := r.sreg;             -- cpu will read switch register
      else
      else
        idout := r.dreg;             -- rri will read display register
        idout := r.dreg;             -- rri will read display register
      end if;
      end if;
    end if;
    end if;
 
 
    -- ibus write transactions
    -- ibus write transactions
    if ibsel='1' and IB_MREQ.we='1' then
    if r.ibsel='1' and IB_MREQ.we='1' then
      if IB_MREQ.racc = '0' then     -- cpu will write display register
      if IB_MREQ.racc = '0' then     -- cpu will write display register
        if IB_MREQ.be1 = '1' then
        if IB_MREQ.be1 = '1' then
          n.dreg(ibf_byte1) := IB_MREQ.din(ibf_byte1);
          n.dreg(ibf_byte1) := IB_MREQ.din(ibf_byte1);
        end if;
        end if;
        if IB_MREQ.be0 = '1' then
        if IB_MREQ.be0 = '1' then
Line 127... Line 133...
    end if;
    end if;
 
 
    N_REGS <= n;
    N_REGS <= n;
 
 
    IB_SRES.dout <= idout;
    IB_SRES.dout <= idout;
    IB_SRES.ack  <= ibsel;
    IB_SRES.ack  <= r.ibsel and ibreq;
    IB_SRES.busy <= '0';
    IB_SRES.busy <= '0';
 
 
    DISPREG <= r.dreg;
    DISPREG <= r.dreg;
 
 
  end process proc_next;
  end process proc_next;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.