OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [vlib/] [rlink/] [rlinklib.vhd] - Diff between revs 28 and 29

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 28 Rev 29
Line 1... Line 1...
-- $Id: rlinklib.vhd 617 2014-12-21 14:18:53Z mueller $
-- $Id: rlinklib.vhd 649 2015-02-21 21:10:16Z mueller $
--
--
-- Copyright 2007-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2007-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
-- Software Foundation, either version 2, or at your option any later version.
--
--
Line 14... Line 14...
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Package Name:   rlinklib
-- Package Name:   rlinklib
-- Description:    Definitions for rlink interface and bus entities
-- Description:    Definitions for rlink interface and bus entities
--
--
-- Dependencies:   -
-- Dependencies:   -
-- Tool versions:  xst 8.2-14.7; ghdl 0.18-0.31
-- Tool versions:  ise 8.2-14.7; viv 2014.4; ghdl 0.18-0.31
--
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2014-02-21   649   4.1.1  add ioleds_sp1c
-- 2014-12-21   617   4.1    use stat(2) to signal rbus timeout
-- 2014-12-21   617   4.1    use stat(2) to signal rbus timeout
-- 2014-10-12   596   4.0    now rlink v4.0 iface, 4 bit STAT
-- 2014-10-12   596   4.0    now rlink v4.0 iface, 4 bit STAT
-- 2014-08-15   583   3.5    rb_mreq addr now 16 bit
-- 2014-08-15   583   3.5    rb_mreq addr now 16 bit
-- 2013-04-21   509   3.3.2  add rlb_moni record definition
-- 2013-04-21   509   3.3.2  add rlb_moni record definition
-- 2012-12-29   466   3.3.1  add rlink_rlbmux
-- 2012-12-29   466   3.3.1  add rlink_rlbmux
Line 244... Line 245...
    SER_MONI : out serport_moni_type    -- serport: monitor port
    SER_MONI : out serport_moni_type    -- serport: monitor port
  );
  );
end component;
end component;
 
 
--
--
 
-- io activity leds
 
--
 
component ioleds_sp1c                   -- io activity leds for rlink_sp1c
 
  port (
 
    SER_MONI : in serport_moni_type;    -- ser: monitor port
 
    IOLEDS : out slv4                   -- 4 bit IO monitor (e.g. for DSP_DP)
 
  );
 
end component;
 
 
 
--
-- components for use in test benches (not synthesizable)
-- components for use in test benches (not synthesizable)
--
--
 
 
component rlink_mon is                  -- rlink monitor
component rlink_mon is                  -- rlink monitor
  generic (
  generic (

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.