OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [vlib/] [serport/] [serport_uart_rxtx_ab.vhd] - Diff between revs 19 and 29

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 29
Line 1... Line 1...
-- $Id: serport_uart_rxtx_ab.vhd 476 2013-01-26 22:23:53Z mueller $
-- $Id: serport_uart_rxtx_ab.vhd 641 2015-02-01 22:12:15Z mueller $
--
--
-- Copyright 2007-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2007-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
-- Software Foundation, either version 2, or at your option any later version.
--
--
Line 17... Line 17...
--
--
-- Dependencies:   serport_uart_autobaud
-- Dependencies:   serport_uart_autobaud
--                 serport_uart_rxtx
--                 serport_uart_rxtx
-- Test bench:     -
-- Test bench:     -
-- Target Devices: generic
-- Target Devices: generic
-- Tool versions:  xst 8.2, 9.1, 9.2, 11.4, 12.1, 13.1; ghdl 0.18-0.29
-- Tool versions:  ise 8.2-14.7; viv 2014.4; ghdl 0.18-0.31
--
--
-- Synthesized (xst):
-- Synthesized (xst):
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
-- 2010-12-25   348 12.1    M53d xc3s1000-4    99  197    -  124 s  9.8
-- 2010-12-25   348 12.1    M53d xc3s1000-4    99  197    -  124 s  9.8
--
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2015-02-01   641   1.2    add CLKDIV_F for autobaud;
-- 2011-10-22   417   1.1.1  now numeric_std clean
-- 2011-10-22   417   1.1.1  now numeric_std clean
-- 2010-12-26   348   1.1    add ABCLKDIV port for clock divider setting
-- 2010-12-26   348   1.1    add ABCLKDIV port for clock divider setting
-- 2007-06-24    60   1.0    Initial version 
-- 2007-06-24    60   1.0    Initial version 
------------------------------------------------------------------------------
------------------------------------------------------------------------------
 
 
Line 56... Line 57...
    TXDATA : in slv8;                   -- transmit data in
    TXDATA : in slv8;                   -- transmit data in
    TXENA : in slbit;                   -- transmit data enable
    TXENA : in slbit;                   -- transmit data enable
    TXBUSY : out slbit;                 -- transmit busy
    TXBUSY : out slbit;                 -- transmit busy
    ABACT : out slbit;                  -- autobaud active; if 1 clkdiv invalid
    ABACT : out slbit;                  -- autobaud active; if 1 clkdiv invalid
    ABDONE : out slbit;                 -- autobaud resync done
    ABDONE : out slbit;                 -- autobaud resync done
    ABCLKDIV : out slv(CDWIDTH-1 downto 0) -- autobaud clock divider setting
    ABCLKDIV : out slv(CDWIDTH-1 downto 0); -- autobaud clock divider setting
 
    ABCLKDIV_F : out slv3                   -- autobaud clock divider fraction
  );
  );
end serport_uart_rxtx_ab;
end serport_uart_rxtx_ab;
 
 
architecture syn of serport_uart_rxtx_ab is
architecture syn of serport_uart_rxtx_ab is
 
 
  signal CLKDIV : slv(CDWIDTH-1 downto 0) := slv(to_unsigned(0, CDWIDTH));
  signal CLKDIV : slv(CDWIDTH-1 downto 0) := slv(to_unsigned(0, CDWIDTH));
 
  signal CLKDIV_F : slv3 := (others=>'0');
  signal ABACT_L : slbit := '0';        -- local readable copy of ABACT
  signal ABACT_L : slbit := '0';        -- local readable copy of ABACT
  signal UART_RESET : slbit := '0';
  signal UART_RESET : slbit := '0';
 
 
begin
begin
 
 
Line 78... Line 81...
      CLK     => CLK,
      CLK     => CLK,
      CE_MSEC => CE_MSEC,
      CE_MSEC => CE_MSEC,
      RESET   => RESET,
      RESET   => RESET,
      RXSD    => RXSD,
      RXSD    => RXSD,
      CLKDIV  => CLKDIV,
      CLKDIV  => CLKDIV,
 
      CLKDIV_F => CLKDIV_F,
      ACT     => ABACT_L,
      ACT     => ABACT_L,
      DONE    => ABDONE
      DONE    => ABDONE
    );
    );
 
 
  UART_RESET <= ABACT_L or RESET;
  UART_RESET <= ABACT_L or RESET;
  ABACT      <= ABACT_L;
  ABACT      <= ABACT_L;
  ABCLKDIV   <= CLKDIV;
  ABCLKDIV   <= CLKDIV;
 
  ABCLKDIV_F <= CLKDIV_F;
 
 
  RXTX : serport_uart_rxtx
  RXTX : serport_uart_rxtx
    generic map (
    generic map (
      CDWIDTH => CDWIDTH)
      CDWIDTH => CDWIDTH)
    port map (
    port map (

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.