OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [bplib/] [nexys2/] [nexys2lib.vhd] - Diff between revs 2 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 8
Line 1... Line 1...
-- $Id: nexys2lib.vhd 314 2010-07-09 17:38:41Z mueller $
-- $Id: nexys2lib.vhd 338 2010-11-13 22:19:25Z mueller $
--
--
-- Copyright 2010- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2010- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
Line 14... Line 14...
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Package Name:   nexys2lib
-- Package Name:   nexys2lib
-- Description:    Nexys 2 components
-- Description:    Nexys 2 components
-- 
-- 
-- Dependencies:   -
-- Dependencies:   -
-- Tool versions:  xst 11.4; ghdl 0.26
-- Tool versions:  xst 11.4, 12.1; ghdl 0.26-0.29
 
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2010-11-13   338   1.0.2  add O_CLKSYS to aif's (DCM derived system clock)
 
-- 2010-11-06   336   1.0.4  rename input pin CLK -> I_CLK50
-- 2010-05-28   295   1.0.3  use _ADV_N also for n2_cram_dummy
-- 2010-05-28   295   1.0.3  use _ADV_N also for n2_cram_dummy
-- 2010-05-23   294   1.0.2  add n2_cram_dummy;
-- 2010-05-23   294   1.0.2  add n2_cram_dummy;
-- 2010-05-23   293   1.0.1  use _ADV_N rather _ADV; add generic for memctl
-- 2010-05-23   293   1.0.1  use _ADV_N rather _ADV; add generic for memctl
-- 2010-05-21   292   1.0    Initial version 
-- 2010-05-21   292   1.0    Initial version 
------------------------------------------------------------------------------
------------------------------------------------------------------------------
Line 32... Line 35...
 
 
package nexys2lib is
package nexys2lib is
 
 
component nexys2_aif is                 -- NEXYS 2, abstract iface, base
component nexys2_aif is                 -- NEXYS 2, abstract iface, base
  port (
  port (
    CLK : in slbit;                     -- clock
    I_CLK50 : in slbit;                 -- 50 MHz board clock
 
    O_CLKSYS : out slbit;               -- DCM derived system clock
    I_RXD : in slbit;                   -- receive data (board view)
    I_RXD : in slbit;                   -- receive data (board view)
    O_TXD : out slbit;                  -- transmit data (board view)
    O_TXD : out slbit;                  -- transmit data (board view)
    I_SWI : in slv8;                    -- s3 switches
    I_SWI : in slv8;                    -- s3 switches
    I_BTN : in slv4;                    -- s3 buttons
    I_BTN : in slv4;                    -- s3 buttons
    O_LED : out slv8;                   -- s3 leds
    O_LED : out slv8;                   -- s3 leds
Line 56... Line 60...
  );
  );
end component;
end component;
 
 
component nexys2_fusp_aif is           -- NEXYS 2, abstract iface, base+fusp
component nexys2_fusp_aif is           -- NEXYS 2, abstract iface, base+fusp
  port (
  port (
    CLK : in slbit;                     -- clock
    I_CLK50 : in slbit;                 -- 50 MHz board clock
 
    O_CLKSYS : out slbit;               -- DCM derived system clock
    I_RXD : in slbit;                   -- receive data (board view)
    I_RXD : in slbit;                   -- receive data (board view)
    O_TXD : out slbit;                  -- transmit data (board view)
    O_TXD : out slbit;                  -- transmit data (board view)
    I_SWI : in slv8;                    -- s3 switches
    I_SWI : in slv8;                    -- s3 switches
    I_BTN : in slv4;                    -- s3 buttons
    I_BTN : in slv4;                    -- s3 buttons
    O_LED : out slv8;                   -- s3 leds
    O_LED : out slv8;                   -- s3 leds

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.