OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [bplib/] [nexys4/] [nexys4_pins.xdc] - Diff between revs 29 and 35

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 29 Rev 35
Line 1... Line 1...
# -*- tcl -*-
# -*- tcl -*-
# $Id: nexys4_pins.xdc 643 2015-02-07 17:41:53Z mueller $
# $Id: nexys4_pins.xdc 726 2016-01-31 23:02:31Z mueller $
#
#
# Pin locks for Nexys 4 core functionality
# Pin locks for Nexys 4 core functionality
#  - USB UART
#  - USB UART
#  - human I/O (switches, buttons, leds, display)
#  - human I/O (switches, buttons, leds, display)
#
#
Line 92... Line 92...
#
#
# RGB-LEDs -- in bank 15+34+35 ----------------------------------------------
# RGB-LEDs -- in bank 15+34+35 ----------------------------------------------
set_property PACKAGE_PIN k5  [get_ports {O_RGBLED0[0]}]
set_property PACKAGE_PIN k5  [get_ports {O_RGBLED0[0]}]
set_property PACKAGE_PIN f13 [get_ports {O_RGBLED0[1]}]
set_property PACKAGE_PIN f13 [get_ports {O_RGBLED0[1]}]
set_property PACKAGE_PIN f6  [get_ports {O_RGBLED0[2]}]
set_property PACKAGE_PIN f6  [get_ports {O_RGBLED0[2]}]
 
 
set_property PACKAGE_PIN k6  [get_ports {O_RGBLED1[0]}]
set_property PACKAGE_PIN k6  [get_ports {O_RGBLED1[0]}]
set_property PACKAGE_PIN h6  [get_ports {O_RGBLED1[1]}]
set_property PACKAGE_PIN h6  [get_ports {O_RGBLED1[1]}]
set_property PACKAGE_PIN l16 [get_ports {O_RGBLED1[2]}]
set_property PACKAGE_PIN l16 [get_ports {O_RGBLED1[2]}]
 
 
set_property IOSTANDARD LVCMOS33 [get_ports {O_RGBLED0[*] O_RGBLED1[*]}]
set_property IOSTANDARD LVCMOS33 [get_ports {O_RGBLED0[*] O_RGBLED1[*]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.