OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [bplib/] [nexys4/] [nexys4_pins.xdc] - Diff between revs 35 and 36

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 35 Rev 36
Line 1... Line 1...
# -*- tcl -*-
# -*- tcl -*-
# $Id: nexys4_pins.xdc 726 2016-01-31 23:02:31Z mueller $
# $Id: nexys4_pins.xdc 758 2016-04-02 18:01:39Z mueller $
#
#
# Pin locks for Nexys 4 core functionality
# Copyright 2015-2016 by Walter F.J. Mueller 
 
# License disclaimer see LICENSE_gpl_v2.txt in $RETROBASE directory
 
#
 
# Nexys 4 core functionality
 
# - Configuration setup
 
#   - config voltage
 
#   - enable bitstream timestamp
 
# - Pin Locks for
#  - USB UART
#  - USB UART
#  - human I/O (switches, buttons, leds, display)
#  - human I/O (switches, buttons, leds, display)
#
#
# Revision History:
# Revision History:
# Date         Rev Version  Comment
# Date         Rev Version  Comment
 
# 2016-04-02   758   1.4    add BITSTREAM.CONFIG.USR_ACCESS setup
# 2015-02-06   643   1.3    factor out cram
# 2015-02-06   643   1.3    factor out cram
# 2015-02-01   641   1.2    separate I_BTNRST_N
# 2015-02-01   641   1.2    separate I_BTNRST_N
# 2015-01-31   640   1.1    fix RTS/CTS
# 2015-01-31   640   1.1    fix RTS/CTS
# 2013-10-12   539   1.0    Initial version (converted from ucf)
# 2013-10-12   539   1.0    Initial version (converted from ucf)
#
#
 
 
# config setup --------------------------------------------------------------
# config setup --------------------------------------------------------------
set_property CFGBVS         VCCO [current_design]
set_property CFGBVS         VCCO [current_design]
set_property CONFIG_VOLTAGE  3.3 [current_design]
set_property CONFIG_VOLTAGE  3.3 [current_design]
 
set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [current_design]
 
 
# clocks -- in bank 35 ------------------------------------------------------
# clocks -- in bank 35 ------------------------------------------------------
set_property PACKAGE_PIN e3 [get_ports {I_CLK100}]
set_property PACKAGE_PIN e3 [get_ports {I_CLK100}]
set_property IOSTANDARD LVCMOS33 [get_ports {I_CLK100}]
set_property IOSTANDARD LVCMOS33 [get_ports {I_CLK100}]
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.