OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [ibus/] [ibdr_maxisys.vhd] - Diff between revs 13 and 25

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 13 Rev 25
Line 1... Line 1...
-- $Id: ibdr_maxisys.vhd 427 2011-11-19 21:04:11Z mueller $
-- $Id: ibdr_maxisys.vhd 565 2014-06-28 12:54:08Z mueller $
--
--
-- Copyright 2009-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2009-2014 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
-- Software Foundation, either version 2, or at your option any later version.
--
--
Line 15... Line 15...
-- Module Name:    ibdr_maxisys - syn
-- Module Name:    ibdr_maxisys - syn
-- Description:    ibus(rem) devices for full system
-- Description:    ibus(rem) devices for full system
--
--
-- Dependencies:   ibd_iist
-- Dependencies:   ibd_iist
--                 ibd_kw11l
--                 ibd_kw11l
 
--                 ibdr_rl11
--                 ibdr_rk11
--                 ibdr_rk11
--                 ibdr_dl11
--                 ibdr_dl11
--                 ibdr_pc11
--                 ibdr_pc11
--                 ibdr_lp11
--                 ibdr_lp11
--                 ibdr_sdreg
--                 ibdr_sdreg
--                 ib_sres_or_4
--                 ib_sres_or_4
--                 ib_sres_or_3
--                 ib_sres_or_3
--                 ib_intmap
--                 ib_intmap
-- Test bench:     -
-- Test bench:     -
-- Target Devices: generic
-- Target Devices: generic
-- Tool versions:  xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
-- Tool versions:  xst 8.2-14.7; ghdl 0.18-0.31
--
--
-- Synthesized (xst):
-- Synthesized (xst):
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
 
-- 2014-06-08   561 14.7  131013 xc6slx16-2   380  748   18  266 s  7.1 +RL11
 
-- 2014-06-08   560 14.7  131013 xc6slx16-2   311  615    8  216 s  7.1
-- 2010-10-17   333 12.1    M53d xc3s1000-4   312 1058   16  617 s 10.3
-- 2010-10-17   333 12.1    M53d xc3s1000-4   312 1058   16  617 s 10.3
-- 2010-10-17   314 12.1    M53d xc3s1000-4   300 1094   16  626 s 10.4
-- 2010-10-17   314 12.1    M53d xc3s1000-4   300 1094   16  626 s 10.4
--
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2014-06-27   565   1.2.1  temporarily hide RL11
 
-- 2014-06-08   561   1.2    add rl11
-- 2011-11-18   427   1.1.2  now numeric_std clean
-- 2011-11-18   427   1.1.2  now numeric_std clean
-- 2010-10-23   335   1.1.1  rename RRI_LAM->RB_LAM;
-- 2010-10-23   335   1.1.1  rename RRI_LAM->RB_LAM;
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
-- 2009-07-12   233   1.0.4  reorder ports; add RESET, CE_USEC to _dl11
-- 2009-07-12   233   1.0.4  reorder ports; add RESET, CE_USEC to _dl11
-- 2009-06-20   227   1.0.3  rename generate labels.
-- 2009-06-20   227   1.0.3  rename generate labels.
Line 230... Line 235...
      IB_SRES => IB_SRES_KW11L,
      IB_SRES => IB_SRES_KW11L,
      EI_REQ  => EI_REQ_KW11L,
      EI_REQ  => EI_REQ_KW11L,
      EI_ACK  => EI_ACK_KW11L
      EI_ACK  => EI_ACK_KW11L
    );
    );
 
 
 
--  RL11: if true  generate
 
--  begin
 
--    I0 : ibdr_rl11
 
--      port map (
 
--        CLK     => CLK,
 
--        CE_MSEC => CE_MSEC,
 
--        BRESET  => BRESET,
 
--        RB_LAM  => RB_LAM_RL11,
 
--        IB_MREQ => IB_MREQ,
 
--        IB_SRES => IB_SRES_RL11,
 
--        EI_REQ  => EI_REQ_RL11,
 
--        EI_ACK  => EI_ACK_RL11
 
--      );
 
--  end generate RL11;
 
 
  RK11: if true generate
  RK11: if true generate
  begin
  begin
    I0 : ibdr_rk11
    I0 : ibdr_rk11
      port map (
      port map (
        CLK     => CLK,
        CLK     => CLK,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.