OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [ibus/] [ibdr_maxisys.vhd] - Diff between revs 2 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 8
Line 1... Line 1...
-- $Id: ibdr_maxisys.vhd 314 2010-07-09 17:38:41Z mueller $
-- $Id: ibdr_maxisys.vhd 335 2010-10-24 22:24:23Z mueller $
--
--
-- Copyright 2009-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2009-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
Line 25... Line 25...
--                 ib_sres_or_4
--                 ib_sres_or_4
--                 ib_sres_or_3
--                 ib_sres_or_3
--                 ib_intmap
--                 ib_intmap
-- Test bench:     -
-- Test bench:     -
-- Target Devices: generic
-- Target Devices: generic
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 12.1; ghdl 0.18-0.29
 
--
 
-- Synthesized (xst):
 
-- Date         Rev  ise         Target      flop lutl lutm slic t peri
 
-- 2010-10-17   333  12.1    M53 xc3s1000-4   312 1058   16  617 s 10.3
 
-- 2010-10-17   314  12.1    M53 xc3s1000-4   300 1094   16  626 s 10.4
 
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2010-10-23   335   1.1.1  rename RRI_LAM->RB_LAM;
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
-- 2010-06-11   303   1.1    use IB_MREQ.racc instead of RRI_REQ
-- 2009-07-12   233   1.0.4  reorder ports; add RESET, CE_USEC to _dl11
-- 2009-07-12   233   1.0.4  reorder ports; add RESET, CE_USEC to _dl11
-- 2009-06-20   227   1.0.3  rename generate labels.
-- 2009-06-20   227   1.0.3  rename generate labels.
-- 2009-06-07   224   1.0.2  add iist_mreq and iist_sres interfaces
-- 2009-06-07   224   1.0.2  add iist_mreq and iist_sres interfaces
-- 2009-06-01   221   1.0.1  add CE_USEC; add RESET to kw11l; add _pc11, _iist
-- 2009-06-01   221   1.0.1  add CE_USEC; add RESET to kw11l; add _pc11, _iist
Line 77... Line 84...
    CLK : in slbit;                     -- clock
    CLK : in slbit;                     -- clock
    CE_USEC : in slbit;                 -- usec pulse
    CE_USEC : in slbit;                 -- usec pulse
    CE_MSEC : in slbit;                 -- msec pulse
    CE_MSEC : in slbit;                 -- msec pulse
    RESET : in slbit;                   -- reset
    RESET : in slbit;                   -- reset
    BRESET : in slbit;                  -- ibus reset
    BRESET : in slbit;                  -- ibus reset
    RRI_LAM : out slv16_1;              -- remote attention vector
    RB_LAM : out slv16_1;               -- remote attention vector
    IB_MREQ : in ib_mreq_type;          -- ibus request
    IB_MREQ : in ib_mreq_type;          -- ibus request
    IB_SRES : out ib_sres_type;         -- ibus response
    IB_SRES : out ib_sres_type;         -- ibus response
    EI_ACKM : in slbit;                 -- interrupt acknowledge (from master)
    EI_ACKM : in slbit;                 -- interrupt acknowledge (from master)
    EI_PRI : out slv3;                  -- interrupt priority (to cpu)
    EI_PRI : out slv3;                  -- interrupt priority (to cpu)
    EI_VECT : out slv9_2;               -- interrupt vector   (to cpu)
    EI_VECT : out slv9_2;               -- interrupt vector   (to cpu)
Line 108... Line 115...
     (8#074#,4),                        -- line  2  PC11-PTP
     (8#074#,4),                        -- line  2  PC11-PTP
     (8#200#,4),                        -- line  1  LP11
     (8#200#,4),                        -- line  1  LP11
     intmap_init                        -- line  0
     intmap_init                        -- line  0
     );
     );
 
 
  signal RRI_LAM_DENUA  : slbit := '0';
  signal RB_LAM_DENUA  : slbit := '0';
  signal RRI_LAM_RP06   : slbit := '0';
  signal RB_LAM_RP06   : slbit := '0';
  signal RRI_LAM_RL11   : slbit := '0';
  signal RB_LAM_RL11   : slbit := '0';
  signal RRI_LAM_RK11   : slbit := '0';
  signal RB_LAM_RK11   : slbit := '0';
  signal RRI_LAM_TM11   : slbit := '0';
  signal RB_LAM_TM11   : slbit := '0';
  signal RRI_LAM_DZ11   : slbit := '0';
  signal RB_LAM_DZ11   : slbit := '0';
  signal RRI_LAM_DL11_0 : slbit := '0';
  signal RB_LAM_DL11_0 : slbit := '0';
  signal RRI_LAM_DL11_1 : slbit := '0';
  signal RB_LAM_DL11_1 : slbit := '0';
  signal RRI_LAM_PC11   : slbit := '0';
  signal RB_LAM_PC11   : slbit := '0';
  signal RRI_LAM_LP11   : slbit := '0';
  signal RB_LAM_LP11   : slbit := '0';
 
 
  signal IB_SRES_IIST   : ib_sres_type := ib_sres_init;
  signal IB_SRES_IIST   : ib_sres_type := ib_sres_init;
  signal IB_SRES_KW11P  : ib_sres_type := ib_sres_init;
  signal IB_SRES_KW11P  : ib_sres_type := ib_sres_init;
  signal IB_SRES_KW11L  : ib_sres_type := ib_sres_init;
  signal IB_SRES_KW11L  : ib_sres_type := ib_sres_init;
  signal IB_SRES_DEUNA  : ib_sres_type := ib_sres_init;
  signal IB_SRES_DEUNA  : ib_sres_type := ib_sres_init;
Line 229... Line 236...
    I0 : ibdr_rk11
    I0 : ibdr_rk11
      port map (
      port map (
        CLK     => CLK,
        CLK     => CLK,
        CE_MSEC => CE_MSEC,
        CE_MSEC => CE_MSEC,
        BRESET  => BRESET,
        BRESET  => BRESET,
        RRI_LAM => RRI_LAM_RK11,
        RB_LAM  => RB_LAM_RK11,
        IB_MREQ => IB_MREQ,
        IB_MREQ => IB_MREQ,
        IB_SRES => IB_SRES_RK11,
        IB_SRES => IB_SRES_RK11,
        EI_REQ  => EI_REQ_RK11,
        EI_REQ  => EI_REQ_RK11,
        EI_ACK  => EI_ACK_RK11
        EI_ACK  => EI_ACK_RK11
      );
      );
Line 243... Line 250...
    port map (
    port map (
      CLK       => CLK,
      CLK       => CLK,
      CE_USEC   => CE_USEC,
      CE_USEC   => CE_USEC,
      RESET     => RESET,
      RESET     => RESET,
      BRESET    => BRESET,
      BRESET    => BRESET,
      RRI_LAM   => RRI_LAM_DL11_0,
      RB_LAM    => RB_LAM_DL11_0,
      IB_MREQ   => IB_MREQ,
      IB_MREQ   => IB_MREQ,
      IB_SRES   => IB_SRES_DL11_0,
      IB_SRES   => IB_SRES_DL11_0,
      EI_REQ_RX => EI_REQ_DL11RX_0,
      EI_REQ_RX => EI_REQ_DL11RX_0,
      EI_REQ_TX => EI_REQ_DL11TX_0,
      EI_REQ_TX => EI_REQ_DL11TX_0,
      EI_ACK_RX => EI_ACK_DL11RX_0,
      EI_ACK_RX => EI_ACK_DL11RX_0,
Line 262... Line 269...
      port map (
      port map (
        CLK       => CLK,
        CLK       => CLK,
        CE_USEC   => CE_USEC,
        CE_USEC   => CE_USEC,
        RESET     => RESET,
        RESET     => RESET,
        BRESET    => BRESET,
        BRESET    => BRESET,
        RRI_LAM   => RRI_LAM_DL11_1,
        RB_LAM    => RB_LAM_DL11_1,
        IB_MREQ   => IB_MREQ,
        IB_MREQ   => IB_MREQ,
        IB_SRES   => IB_SRES_DL11_1,
        IB_SRES   => IB_SRES_DL11_1,
        EI_REQ_RX => EI_REQ_DL11RX_1,
        EI_REQ_RX => EI_REQ_DL11RX_1,
        EI_REQ_TX => EI_REQ_DL11TX_1,
        EI_REQ_TX => EI_REQ_DL11TX_1,
        EI_ACK_RX => EI_ACK_DL11RX_1,
        EI_ACK_RX => EI_ACK_DL11RX_1,
Line 279... Line 286...
    I0 : ibdr_pc11
    I0 : ibdr_pc11
      port map (
      port map (
        CLK        => CLK,
        CLK        => CLK,
        RESET      => RESET,
        RESET      => RESET,
        BRESET     => BRESET,
        BRESET     => BRESET,
        RRI_LAM    => RRI_LAM_PC11,
        RB_LAM     => RB_LAM_PC11,
        IB_MREQ    => IB_MREQ,
        IB_MREQ    => IB_MREQ,
        IB_SRES    => IB_SRES_PC11,
        IB_SRES    => IB_SRES_PC11,
        EI_REQ_PTR => EI_REQ_PC11PTR,
        EI_REQ_PTR => EI_REQ_PC11PTR,
        EI_REQ_PTP => EI_REQ_PC11PTP,
        EI_REQ_PTP => EI_REQ_PC11PTP,
        EI_ACK_PTR => EI_ACK_PC11PTR,
        EI_ACK_PTR => EI_ACK_PC11PTR,
Line 296... Line 303...
    I0 : ibdr_lp11
    I0 : ibdr_lp11
      port map (
      port map (
        CLK     => CLK,
        CLK     => CLK,
        RESET   => RESET,
        RESET   => RESET,
        BRESET  => BRESET,
        BRESET  => BRESET,
        RRI_LAM => RRI_LAM_LP11,
        RB_LAM  => RB_LAM_LP11,
        IB_MREQ => IB_MREQ,
        IB_MREQ => IB_MREQ,
        IB_SRES => IB_SRES_LP11,
        IB_SRES => IB_SRES_LP11,
        EI_REQ  => EI_REQ_LP11,
        EI_REQ  => EI_REQ_LP11,
        EI_ACK  => EI_ACK_LP11
        EI_ACK  => EI_ACK_LP11
      );
      );
Line 397... Line 404...
  EI_ACK_DL11TX_1 <= EI_ACK( 4);
  EI_ACK_DL11TX_1 <= EI_ACK( 4);
  EI_ACK_PC11PTR  <= EI_ACK( 3);
  EI_ACK_PC11PTR  <= EI_ACK( 3);
  EI_ACK_PC11PTP  <= EI_ACK( 2);
  EI_ACK_PC11PTP  <= EI_ACK( 2);
  EI_ACK_LP11     <= EI_ACK( 1);
  EI_ACK_LP11     <= EI_ACK( 1);
 
 
  RRI_LAM(15 downto 11) <= (others=>'0');
  RB_LAM(15 downto 11) <= (others=>'0');
  RRI_LAM(10) <= RRI_LAM_PC11;
  RB_LAM(10) <= RB_LAM_PC11;
  RRI_LAM( 9) <= RRI_LAM_DENUA;
  RB_LAM( 9) <= RB_LAM_DENUA;
  RRI_LAM( 8) <= RRI_LAM_LP11;
  RB_LAM( 8) <= RB_LAM_LP11;
  RRI_LAM( 7) <= RRI_LAM_TM11;
  RB_LAM( 7) <= RB_LAM_TM11;
  RRI_LAM( 6) <= RRI_LAM_RP06;
  RB_LAM( 6) <= RB_LAM_RP06;
  RRI_LAM( 5) <= RRI_LAM_RL11;
  RB_LAM( 5) <= RB_LAM_RL11;
  RRI_LAM( 4) <= RRI_LAM_RK11;
  RB_LAM( 4) <= RB_LAM_RK11;
  RRI_LAM( 3) <= RRI_LAM_DZ11;
  RB_LAM( 3) <= RB_LAM_DZ11;
  RRI_LAM( 2) <= RRI_LAM_DL11_1;
  RB_LAM( 2) <= RB_LAM_DL11_1;
  RRI_LAM( 1) <= RRI_LAM_DL11_0;
  RB_LAM( 1) <= RB_LAM_DL11_0;
 
 
end syn;
end syn;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.