Line 1... |
Line 1... |
-- $Id: serport_uart_rxtx_ab.vhd 666 2015-04-12 21:17:54Z mueller $
|
-- $Id: serport_uart_rxtx_ab.vhd 734 2016-02-20 22:43:20Z mueller $
|
--
|
--
|
-- Copyright 2007-2015 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
|
-- Copyright 2007-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
|
--
|
--
|
-- This program is free software; you may redistribute and/or modify it under
|
-- This program is free software; you may redistribute and/or modify it under
|
-- the terms of the GNU General Public License as published by the Free
|
-- the terms of the GNU General Public License as published by the Free
|
-- Software Foundation, either version 2, or at your option any later version.
|
-- Software Foundation, either version 2, or at your option any later version.
|
--
|
--
|
Line 31... |
Line 31... |
-- 2015-02-01 641 1.2 add CLKDIV_F for autobaud;
|
-- 2015-02-01 641 1.2 add CLKDIV_F for autobaud;
|
-- 2011-10-22 417 1.1.1 now numeric_std clean
|
-- 2011-10-22 417 1.1.1 now numeric_std clean
|
-- 2010-12-26 348 1.1 add ABCLKDIV port for clock divider setting
|
-- 2010-12-26 348 1.1 add ABCLKDIV port for clock divider setting
|
-- 2007-06-24 60 1.0 Initial version
|
-- 2007-06-24 60 1.0 Initial version
|
------------------------------------------------------------------------------
|
------------------------------------------------------------------------------
|
|
-- Note: for test bench usage a copy of all serport_* entities, with _tb
|
|
-- appended to the name, has been created in the /tb sub folder.
|
|
-- Ensure to update the copy when this file is changed !!
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.numeric_std.all;
|
use ieee.numeric_std.all;
|
|
|