OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [w11a/] [pdp11_ubmap.vhd] - Diff between revs 8 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 13
Line 1... Line 1...
-- $Id: pdp11_ubmap.vhd 335 2010-10-24 22:24:23Z mueller $
-- $Id: pdp11_ubmap.vhd 427 2011-11-19 21:04:11Z mueller $
--
--
-- Copyright 2008-2010 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
-- Copyright 2008-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
--
-- This program is free software; you may redistribute and/or modify it under
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
-- Software Foundation, either version 2, or at your option any later version.
--
--
Line 17... Line 17...
--
--
-- Dependencies:   memlib/ram_1swar_gen
-- Dependencies:   memlib/ram_1swar_gen
--                 ib_sel
--                 ib_sel
-- Test bench:     tb/tb_pdp11_core (implicit)
-- Test bench:     tb/tb_pdp11_core (implicit)
-- Target Devices: generic
-- Target Devices: generic
-- Tool versions:  xst 8.1, 8.2, 9.1, 9.2, 12.1; ghdl 0.18-0.29
-- Tool versions:  xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29
--
--
-- Revision History: 
-- Revision History: 
-- Date         Rev Version  Comment
-- Date         Rev Version  Comment
 
-- 2011-11-18   427   1.1.2  now numeric_std clean
-- 2010-10-23   335   1.1.1  use ib_sel
-- 2010-10-23   335   1.1.1  use ib_sel
-- 2010-10-17   333   1.1    use ibus V2 interface
-- 2010-10-17   333   1.1    use ibus V2 interface
-- 2008-08-22   161   1.0.1  use iblib
-- 2008-08-22   161   1.0.1  use iblib
-- 2008-01-27   115   1.0    Initial version 
-- 2008-01-27   115   1.0    Initial version 
------------------------------------------------------------------------------
------------------------------------------------------------------------------
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
 
 
use work.slvtypes.all;
use work.slvtypes.all;
use work.memlib.all;
use work.memlib.all;
use work.iblib.all;
use work.iblib.all;
use work.pdp11.all;
use work.pdp11.all;
Line 51... Line 52...
  );
  );
end pdp11_ubmap;
end pdp11_ubmap;
 
 
architecture syn of pdp11_ubmap is
architecture syn of pdp11_ubmap is
 
 
  constant ibaddr_ubmap : slv16 := conv_std_logic_vector(8#170200#,16);
  constant ibaddr_ubmap : slv16 := slv(to_unsigned(8#170200#,16));
 
 
  signal IBSEL_UBMAP : slbit := '0';
  signal IBSEL_UBMAP : slbit := '0';
 
 
  signal MAP_2_WE : slbit := '0';
  signal MAP_2_WE : slbit := '0';
  signal MAP_1_WE : slbit := '0';
  signal MAP_1_WE : slbit := '0';
Line 159... Line 160...
    MAP_ADDR <= iaddr;
    MAP_ADDR <= iaddr;
    MAP_2_WE <= iwe2;
    MAP_2_WE <= iwe2;
    MAP_1_WE <= iwe1;
    MAP_1_WE <= iwe1;
    MAP_0_WE <= iwe0;
    MAP_0_WE <= iwe0;
 
 
    ADDR_PM  <= unsigned(MAP_DOUT) + unsigned("000000000"&ADDR_UB(12 downto 1));
    ADDR_PM  <= slv(unsigned(MAP_DOUT) +
 
                    unsigned("000000000"&ADDR_UB(12 downto 1)));
 
 
    IB_SRES.ack  <= IBSEL_UBMAP and (IB_MREQ.re or IB_MREQ.we);
    IB_SRES.ack  <= IBSEL_UBMAP and (IB_MREQ.re or IB_MREQ.we);
    IB_SRES.busy <= ibusy;
    IB_SRES.busy <= ibusy;
    IB_SRES.dout <= idout;
    IB_SRES.dout <= idout;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.