OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [asm/] [PBWBUART.V] - Diff between revs 11 and 22

Show entire file | Details | Blame | View Log

Rev 11 Rev 22
Line 13... Line 13...
//  \___\/\___\
//  \___\/\___\
//
//
//Command: kcpsm3 pbwbuart.psm
//Command: kcpsm3 pbwbuart.psm
//Device: Spartan-3, Spartan-3E, Virtex-II, and Virtex-II Pro FPGAs
//Device: Spartan-3, Spartan-3E, Virtex-II, and Virtex-II Pro FPGAs
//Design Name: pbwbuart
//Design Name: pbwbuart
//Generated 07Feb2010-11:49:50.
//Generated 20Feb2010-13:14:06.
//Purpose:
//Purpose:
// pbwbuart verilog program definition.
// pbwbuart verilog program definition.
//
//
//Reference:
//Reference:
// PicoBlaze 8-bit Embedded Microcontroller User Guide
// PicoBlaze 8-bit Embedded Microcontroller User Guide
Line 38... Line 38...
 .DO (instruction[15:0]),
 .DO (instruction[15:0]),
 .DOP (instruction[17:16]))
 .DOP (instruction[17:16]))
/*synthesis
/*synthesis
init_00 = "002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000"
init_00 = "002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000"
init_01 = "002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20"
init_01 = "002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20"
init_02 = "003C1ED00F00A0000035003C0E000F03003C0E1A0F024022002D0031002D0D0A"
init_02 = "003B1ED00F00A0000035003B0E000F03003B0E1A0F024022002D0031002D0D0A"
init_03 = "0042A0000042DEF040350031A000543A2E04003F0F01A0001DE0003F0F00A000"
init_03 = "5EF00041A0000041DEF040350031B0002E04003E0F01A0001DE0003E0F00A000"
init_04 = "0000000000000000000000000000000000008000A00050422E015EF0A0005EF0"
init_04 = "00000000000000000000000000000000000000008000A00050412E015EF0A000"
init_05 = "0000000000000000000000000000000000000000000000000000000000000000"
init_05 = "0000000000000000000000000000000000000000000000000000000000000000"
init_06 = "0000000000000000000000000000000000000000000000000000000000000000"
init_06 = "0000000000000000000000000000000000000000000000000000000000000000"
init_07 = "0000000000000000000000000000000000000000000000000000000000000000"
init_07 = "0000000000000000000000000000000000000000000000000000000000000000"
init_08 = "0000000000000000000000000000000000000000000000000000000000000000"
init_08 = "0000000000000000000000000000000000000000000000000000000000000000"
init_09 = "0000000000000000000000000000000000000000000000000000000000000000"
init_09 = "0000000000000000000000000000000000000000000000000000000000000000"
Line 99... Line 99...
init_3A = "0000000000000000000000000000000000000000000000000000000000000000"
init_3A = "0000000000000000000000000000000000000000000000000000000000000000"
init_3B = "0000000000000000000000000000000000000000000000000000000000000000"
init_3B = "0000000000000000000000000000000000000000000000000000000000000000"
init_3C = "0000000000000000000000000000000000000000000000000000000000000000"
init_3C = "0000000000000000000000000000000000000000000000000000000000000000"
init_3D = "0000000000000000000000000000000000000000000000000000000000000000"
init_3D = "0000000000000000000000000000000000000000000000000000000000000000"
init_3E = "0000000000000000000000000000000000000000000000000000000000000000"
init_3E = "0000000000000000000000000000000000000000000000000000000000000000"
init_3F = "4046000000000000000000000000000000000000000000000000000000000000"
init_3F = "4045000000000000000000000000000000000000000000000000000000000000"
initp_00 = "00000000000000000000000000003B48EEFB7232C2F0C3FCCCCCCCCCCCCCCCCF"
initp_00 = "00000000000000000000000000000ED23BBE7232C2F0C3FCCCCCCCCCCCCCCCCF"
initp_01 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_01 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_02 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_02 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_03 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_03 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_04 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_04 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_05 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_05 = "0000000000000000000000000000000000000000000000000000000000000000"
Line 112... Line 112...
initp_07 = "C000000000000000000000000000000000000000000000000000000000000000" */;
initp_07 = "C000000000000000000000000000000000000000000000000000000000000000" */;
// synthesis translate_off
// synthesis translate_off
// Attributes for Simulation
// Attributes for Simulation
defparam ram_1024_x_18.INIT_00  = 256'h002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000;
defparam ram_1024_x_18.INIT_00  = 256'h002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000;
defparam ram_1024_x_18.INIT_01  = 256'h002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20;
defparam ram_1024_x_18.INIT_01  = 256'h002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20;
defparam ram_1024_x_18.INIT_02  = 256'h003C1ED00F00A0000035003C0E000F03003C0E1A0F024022002D0031002D0D0A;
defparam ram_1024_x_18.INIT_02  = 256'h003B1ED00F00A0000035003B0E000F03003B0E1A0F024022002D0031002D0D0A;
defparam ram_1024_x_18.INIT_03  = 256'h0042A0000042DEF040350031A000543A2E04003F0F01A0001DE0003F0F00A000;
defparam ram_1024_x_18.INIT_03  = 256'h5EF00041A0000041DEF040350031B0002E04003E0F01A0001DE0003E0F00A000;
defparam ram_1024_x_18.INIT_04  = 256'h0000000000000000000000000000000000008000A00050422E015EF0A0005EF0;
defparam ram_1024_x_18.INIT_04  = 256'h00000000000000000000000000000000000000008000A00050412E015EF0A000;
defparam ram_1024_x_18.INIT_05  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_05  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_06  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_06  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_07  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_07  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_08  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_08  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_09  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_09  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
Line 173... Line 173...
defparam ram_1024_x_18.INIT_3A  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3A  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3B  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3B  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3C  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3C  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3D  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3D  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3E  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3E  = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3F  = 256'h4046000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3F  = 256'h4045000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_00 = 256'h00000000000000000000000000003B48EEFB7232C2F0C3FCCCCCCCCCCCCCCCCF;
defparam ram_1024_x_18.INITP_00 = 256'h00000000000000000000000000000ED23BBE7232C2F0C3FCCCCCCCCCCCCCCCCF;
defparam ram_1024_x_18.INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
Line 186... Line 186...
defparam ram_1024_x_18.INITP_07 = 256'hC000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_07 = 256'hC000000000000000000000000000000000000000000000000000000000000000;
// synthesis translate_on
// synthesis translate_on
// Attributes for XST (Synplicity attributes are in-line)
// Attributes for XST (Synplicity attributes are in-line)
// synthesis attribute INIT_00  of ram_1024_x_18 is "002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000"
// synthesis attribute INIT_00  of ram_1024_x_18 is "002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000"
// synthesis attribute INIT_01  of ram_1024_x_18 is "002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20"
// synthesis attribute INIT_01  of ram_1024_x_18 is "002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20"
// synthesis attribute INIT_02  of ram_1024_x_18 is "003C1ED00F00A0000035003C0E000F03003C0E1A0F024022002D0031002D0D0A"
// synthesis attribute INIT_02  of ram_1024_x_18 is "003B1ED00F00A0000035003B0E000F03003B0E1A0F024022002D0031002D0D0A"
// synthesis attribute INIT_03  of ram_1024_x_18 is "0042A0000042DEF040350031A000543A2E04003F0F01A0001DE0003F0F00A000"
// synthesis attribute INIT_03  of ram_1024_x_18 is "5EF00041A0000041DEF040350031B0002E04003E0F01A0001DE0003E0F00A000"
// synthesis attribute INIT_04  of ram_1024_x_18 is "0000000000000000000000000000000000008000A00050422E015EF0A0005EF0"
// synthesis attribute INIT_04  of ram_1024_x_18 is "00000000000000000000000000000000000000008000A00050412E015EF0A000"
// synthesis attribute INIT_05  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_05  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_06  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_06  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_07  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_07  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_08  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_08  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_09  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_09  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
Line 247... Line 247...
// synthesis attribute INIT_3A  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3A  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3B  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3B  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3C  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3C  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3D  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3D  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3E  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3E  of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3F  of ram_1024_x_18 is "4046000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3F  of ram_1024_x_18 is "4045000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_00 of ram_1024_x_18 is "00000000000000000000000000003B48EEFB7232C2F0C3FCCCCCCCCCCCCCCCCF"
// synthesis attribute INITP_00 of ram_1024_x_18 is "00000000000000000000000000000ED23BBE7232C2F0C3FCCCCCCCCCCCCCCCCF"
// synthesis attribute INITP_01 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_01 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_02 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_02 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_03 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_03 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_04 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_04 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_05 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_05 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.