OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [asm/] [PBWBUART.VHD] - Diff between revs 11 and 22

Show entire file | Details | Blame | View Log

Rev 11 Rev 22
Line 1... Line 1...
--
--
-- Definition of a single port ROM for KCPSM3 program defined by pbwbuart.psm
-- Definition of a single port ROM for KCPSM3 program defined by pbwbuart.psm
--
--
-- Generated by KCPSM3 Assembler 07Feb2010-11:49:50.
-- Generated by KCPSM3 Assembler 20Feb2010-13:14:06.
--
--
-- Standard IEEE libraries
-- Standard IEEE libraries
--
--
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
Line 103... Line 103...
--
--
-- Attributes to define ROM contents during implementation synthesis.
-- Attributes to define ROM contents during implementation synthesis.
--
--
attribute INIT_00 of ram_1024_x_18  : label is "002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000";
attribute INIT_00 of ram_1024_x_18  : label is "002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000";
attribute INIT_01 of ram_1024_x_18  : label is "002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20";
attribute INIT_01 of ram_1024_x_18  : label is "002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20";
attribute INIT_02 of ram_1024_x_18  : label is "003C1ED00F00A0000035003C0E000F03003C0E1A0F024022002D0031002D0D0A";
attribute INIT_02 of ram_1024_x_18  : label is "003B1ED00F00A0000035003B0E000F03003B0E1A0F024022002D0031002D0D0A";
attribute INIT_03 of ram_1024_x_18  : label is "0042A0000042DEF040350031A000543A2E04003F0F01A0001DE0003F0F00A000";
attribute INIT_03 of ram_1024_x_18  : label is "5EF00041A0000041DEF040350031B0002E04003E0F01A0001DE0003E0F00A000";
attribute INIT_04 of ram_1024_x_18  : label is "0000000000000000000000000000000000008000A00050422E015EF0A0005EF0";
attribute INIT_04 of ram_1024_x_18  : label is "00000000000000000000000000000000000000008000A00050412E015EF0A000";
attribute INIT_05 of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_05 of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_06 of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_06 of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_07 of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_07 of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_08 of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_08 of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_09 of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_09 of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
Line 164... Line 164...
attribute INIT_3A of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3A of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3B of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3B of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3C of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3C of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3D of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3D of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3E of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3E of ram_1024_x_18  : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3F of ram_1024_x_18  : label is "4046000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3F of ram_1024_x_18  : label is "4045000000000000000000000000000000000000000000000000000000000000";
attribute INITP_00 of ram_1024_x_18 : label is "00000000000000000000000000003B48EEFB7232C2F0C3FCCCCCCCCCCCCCCCCF";
attribute INITP_00 of ram_1024_x_18 : label is "00000000000000000000000000000ED23BBE7232C2F0C3FCCCCCCCCCCCCCCCCF";
attribute INITP_01 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_01 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_02 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_02 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
Line 182... Line 182...
  ram_1024_x_18: RAMB16_S18
  ram_1024_x_18: RAMB16_S18
  --synthesis translate_off
  --synthesis translate_off
  --INIT values repeated to define contents for functional simulation
  --INIT values repeated to define contents for functional simulation
  generic map ( INIT_00 => X"002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000",
  generic map ( INIT_00 => X"002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000",
                INIT_01 => X"002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20",
                INIT_01 => X"002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20",
                INIT_02 => X"003C1ED00F00A0000035003C0E000F03003C0E1A0F024022002D0031002D0D0A",
                INIT_02 => X"003B1ED00F00A0000035003B0E000F03003B0E1A0F024022002D0031002D0D0A",
                INIT_03 => X"0042A0000042DEF040350031A000543A2E04003F0F01A0001DE0003F0F00A000",
                INIT_03 => X"5EF00041A0000041DEF040350031B0002E04003E0F01A0001DE0003E0F00A000",
                INIT_04 => X"0000000000000000000000000000000000008000A00050422E015EF0A0005EF0",
                INIT_04 => X"00000000000000000000000000000000000000008000A00050412E015EF0A000",
                INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
Line 243... Line 243...
                INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
                INIT_3F => X"4046000000000000000000000000000000000000000000000000000000000000",
                INIT_3F => X"4045000000000000000000000000000000000000000000000000000000000000",
               INITP_00 => X"00000000000000000000000000003B48EEFB7232C2F0C3FCCCCCCCCCCCCCCCCF",
               INITP_00 => X"00000000000000000000000000000ED23BBE7232C2F0C3FCCCCCCCCCCCCCCCCF",
               INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
               INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
               INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
               INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
               INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
               INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
               INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
               INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
               INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
               INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.