OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [impl/] [avnet_sp3a_eval_gpio_vlog.v] - Diff between revs 24 and 28

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 24 Rev 28
Line 104... Line 104...
  wire[7:0] gpio_oe;
  wire[7:0] gpio_oe;
 
 
  reg[23:0] timer;
  reg[23:0] timer;
 
 
  // reset synchronisation
  // reset synchronisation
  always@(clk)
  always@(posedge clk)
    rst <= FPGA_RESET;
    rst <= FPGA_RESET;
  assign clk = CLK_16MHZ;
  assign clk = CLK_16MHZ;
 
 
  // module instances
  // module instances
  ///////////////////
  ///////////////////

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.