URL
https://opencores.org/ocsvn/wb4pb/wb4pb/trunk
[/] [wb4pb/] [trunk/] [impl/] [avnet_sp3a_eval_uart_vhd.vhd] - Diff between revs 24 and 28
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 24 |
Rev 28 |
Line 202... |
Line 202... |
PSDONE => open,
|
PSDONE => open,
|
STATUS => open
|
STATUS => open
|
);
|
);
|
|
|
-- reset synchronisation
|
-- reset synchronisation
|
process(clk)
|
process(dcm_locked, clk)
|
begin
|
begin
|
|
if dcm_locked = '0' then
|
|
rst <= '1';
|
|
elsif rising_edge(clk) then
|
rst <= not dcm_locked;
|
rst <= not dcm_locked;
|
|
end if;
|
end process;
|
end process;
|
|
|
-- module instances
|
-- module instances
|
-------------------
|
-------------------
|
|
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.