OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [impl/] [avnet_sp3a_eval_uart_vlog.v] - Diff between revs 24 and 28

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 24 Rev 28
Line 129... Line 129...
    .PSDONE(),
    .PSDONE(),
    .STATUS()
    .STATUS()
  );
  );
 
 
  // reset synchronisation
  // reset synchronisation
  always@(clk)
  always@(negedge dcm_locked or posedge clk)
 
    if (! dcm_locked)
 
      rst <= 1'b1;
 
    else
    rst <= ! dcm_locked;
    rst <= ! dcm_locked;
 
 
  // module instances
  // module instances
  ///////////////////
  ///////////////////
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.