OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [rtl/] [picoblaze_wb_gpio.v] - Diff between revs 10 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 19
Line 40... Line 40...
//            - ...
//            - ...
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
 
 
 
 
module picoblaze_wb_gpio (
module picoblaze_wb_gpio (
  p_rst_i,
  p_rst_n_i,
  p_clk_i,
  p_clk_i,
 
 
  p_gpio_io
  p_gpio_io
);
);
 
 
  input p_rst_i;
  input p_rst_n_i;
  wire  p_rst_i;
  wire  p_rst_i;
  input p_clk_i;
  input p_clk_i;
  wire  p_clk_i;
  wire  p_clk_i;
 
 
  inout[7:0] p_gpio_io;
  inout[7:0] p_gpio_io;
Line 88... Line 88...
  parameter IS_OUTPUT = ! IS_INPUT;
  parameter IS_OUTPUT = ! IS_INPUT;
  integer i;
  integer i;
 
 
  // reset synchronisation
  // reset synchronisation
  always@(clk)
  always@(clk)
    rst <= p_rst_i;
    rst <= ! p_rst_n_i;
  assign clk = p_clk_i;
  assign clk = p_clk_i;
 
 
  // module instances
  // module instances
  ///////////////////
  ///////////////////
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.