OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [rtl/] [picoblaze_wb_gpio.v] - Diff between revs 23 and 26

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 23 Rev 26
Line 87... Line 87...
  parameter IS_INPUT = 1'b0;
  parameter IS_INPUT = 1'b0;
  parameter IS_OUTPUT = ! IS_INPUT;
  parameter IS_OUTPUT = ! IS_INPUT;
  integer i;
  integer i;
 
 
  // reset synchronisation
  // reset synchronisation
  always@(clk)
  always@(posedge clk)
    rst <= ! p_rst_n_i;
    rst <= ! p_rst_n_i;
  assign clk = p_clk_i;
  assign clk = p_clk_i;
 
 
  // module instances
  // module instances
  ///////////////////
  ///////////////////

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.