OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [rtl/] [picoblaze_wb_gpio.vhd] - Diff between revs 2 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 19
Line 46... Line 46...
 
 
 
 
entity picoblaze_wb_gpio is
entity picoblaze_wb_gpio is
  port
  port
  (
  (
    p_rst_i : in std_logic;
    p_rst_n_i : in std_logic;
    p_clk_i : in std_logic;
    p_clk_i : in std_logic;
 
 
    p_gpio_io : inout std_logic_vector(7 downto 0)
    p_gpio_io : inout std_logic_vector(7 downto 0)
  );
  );
end picoblaze_wb_gpio;
end picoblaze_wb_gpio;
Line 159... Line 159...
begin
begin
 
 
  -- reset synchronisation
  -- reset synchronisation
  process(clk)
  process(clk)
  begin
  begin
    rst <= p_rst_i;
    rst <= not p_rst_n_i;
  end process;
  end process;
  clk <= p_clk_i;
  clk <= p_clk_i;
 
 
  -- module instances
  -- module instances
  -------------------
  -------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.