OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [rtl/] [picoblaze_wb_uart.v] - Diff between revs 12 and 26

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 26
Line 80... Line 80...
 
 
  wire interrupt;
  wire interrupt;
  wire interrupt_ack;
  wire interrupt_ack;
 
 
  // reset synchronisation
  // reset synchronisation
  always@(clk)
  always@(posedge clk)
    rst <= ! p_rst_n_i;
    rst <= ! p_rst_n_i;
  assign clk = p_clk_i;
  assign clk = p_clk_i;
 
 
  // module instances
  // module instances
  ///////////////////
  ///////////////////

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.