OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [sim/] [do/] [picoblaze_wb_uart_tb.do] - Diff between revs 14 and 25

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 25
Line 41... Line 41...
################################################################################
################################################################################
 
 
# IMPORTANT NOTICE!
# IMPORTANT NOTICE!
# Verilog (R) simulation flow requires Xilinx (R) ISE (R) to be installed.
# Verilog (R) simulation flow requires Xilinx (R) ISE (R) to be installed.
 
 
# user settings: preferred hdl and working directory
# user settings: preferred hdl, working directory and Xilinx (R) ISE (R)
set wd "e:/home_users/ste.fis/projects/wb4pb/trunk/sim"
# installation path (needed for Verilog (R) simulation)
 
set wd "d:/projects/wb4pb/sim"
set isVHDL yes
set isVHDL yes
 
set XILINX_ISE_PATH "c:/xilinx/13.1"
 
 
# working directory cannot be changed while simulation is running
# working directory cannot be changed while simulation is running
if {![string equal -nocase [pwd] $wd]} {
if {![string equal -nocase [pwd] $wd]} {
  quit -sim
  quit -sim
  cd $wd
  cd $wd
Line 86... Line 88...
  vlog "../rtl/kcuart_tx.v"
  vlog "../rtl/kcuart_tx.v"
  vlog "../rtl/bbfifo_16x8.v"
  vlog "../rtl/bbfifo_16x8.v"
  vlog "../rtl/kcpsm3.v"
  vlog "../rtl/kcpsm3.v"
  vlog "../asm/pbwbuart.v"
  vlog "../asm/pbwbuart.v"
  vlog "../sim/hdl/picoblaze_wb_uart_tb.v"
  vlog "../sim/hdl/picoblaze_wb_uart_tb.v"
  vlog "$env(XILINX)/verilog/src/glbl.v"
  vlog "${XILINX_ISE_PATH}/ise_ds/ise/verilog/src/glbl.v"
 
 
  vsim picoblaze_wb_uart_tb glbl
  vsim picoblaze_wb_uart_tb glbl
 
 
}
}
 
 
Line 112... Line 114...
    add wave -divider "WISHBONE SIGNALS"
    add wave -divider "WISHBONE SIGNALS"
    #add wave sim:/dut/wb_cyc
    #add wave sim:/dut/wb_cyc
    add wave sim:/dut/wb_stb
    add wave sim:/dut/wb_stb
    add wave sim:/dut/wb_we
    add wave sim:/dut/wb_we
    add wave -radix hex sim:/dut/wb_adr
    add wave -radix hex sim:/dut/wb_adr
    add wave -radix hex sim:/dut/wb_dat_m2s
    add wave -radix ascii sim:/dut/wb_dat_m2s
    add wave -radix hex sim:/dut/wb_dat_s2m
    add wave -radix hex sim:/dut/wb_dat_s2m
    add wave sim:/dut/wb_ack
    add wave sim:/dut/wb_ack
  }
  }
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.