OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [sim/] [hdl/] [picoblaze_wb_gpio_tb.vhd] - Diff between revs 2 and 18

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 18
Line 52... Line 52...
architecture behavioral of picoblaze_wb_gpio_tb is
architecture behavioral of picoblaze_wb_gpio_tb is
 
 
  component picoblaze_wb_gpio is
  component picoblaze_wb_gpio is
    port
    port
    (
    (
      p_rst_i : in std_logic;
      p_rst_n_i : in std_logic;
      p_clk_i : in std_logic;
      p_clk_i : in std_logic;
 
 
      p_gpio_io : inout std_logic_vector(7 downto 0)
      p_gpio_io : inout std_logic_vector(7 downto 0)
    );
    );
  end component;
  end component;
 
 
  signal rst : std_logic := '1';
  signal rst_n : std_logic := '0';
  signal clk : std_logic := '1';
  signal clk : std_logic := '1';
 
 
  signal gpio : std_logic_vector(7 downto 0) := (others => 'Z');
  signal gpio : std_logic_vector(7 downto 0) := (others => 'Z');
 
 
  constant PERIOD : time := 20 ns;
  constant PERIOD : time := 20 ns;
 
 
  signal test_data_in : std_logic_vector(7 downto 4) := (others => '0');
  signal test_data_in : std_logic_vector(7 downto 4) := (others => '0');
 
 
begin
begin
 
 
  rst <= '0' after PERIOD*2;
  -- system signal generation
 
  rst_n <= '1' after PERIOD*2;
  clk <= not clk after PERIOD/2;
  clk <= not clk after PERIOD/2;
 
 
  process
  -- 4 bit counting data, changing after some micro seconds
  begin
  test_data_in <= std_logic_vector(unsigned(test_data_in) + 1) after 3000 ns;
    wait for 2500 ns;
  -- stimulus at upper gpio nibble
    test_data_in <= std_logic_vector(unsigned(test_data_in) + 1);
 
  end process;
 
  gpio(7 downto 4) <= test_data_in;
  gpio(7 downto 4) <= test_data_in;
 
 
 
  -- design under test instance
  dut : picoblaze_wb_gpio
  dut : picoblaze_wb_gpio
    port map
    port map
    (
    (
      p_rst_i => rst,
      p_rst_n_i => rst_n,
      p_clk_i => clk,
      p_clk_i => clk,
 
 
      p_gpio_io => gpio
      p_gpio_io => gpio
    );
    );
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.