OpenCores
URL https://opencores.org/ocsvn/wb_dma/wb_dma/trunk

Subversion Repositories wb_dma

[/] [wb_dma/] [trunk/] [rtl/] [verilog/] [wb_dma_rf.v] - Diff between revs 5 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 8
Line 35... Line 35...
////                                                             ////
////                                                             ////
/////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////
 
 
//  CVS Log
//  CVS Log
//
//
//  $Id: wb_dma_rf.v,v 1.1 2001-07-29 08:57:02 rudi Exp $
//  $Id: wb_dma_rf.v,v 1.2 2001-08-15 05:40:30 rudi Exp $
//
//
//  $Date: 2001-07-29 08:57:02 $
//  $Date: 2001-08-15 05:40:30 $
//  $Revision: 1.1 $
//  $Revision: 1.2 $
//  $Author: rudi $
//  $Author: rudi $
//  $Locker:  $
//  $Locker:  $
//  $State: Exp $
//  $State: Exp $
//
//
// Change History:
// Change History:
//               $Log: not supported by cvs2svn $
//               $Log: not supported by cvs2svn $
 
//               Revision 1.1  2001/07/29 08:57:02  rudi
 
//
 
//
 
//               1) Changed Directory Structure
 
//               2) Added restart signal (REST)
 
//
//               Revision 1.4  2001/06/14 08:50:46  rudi
//               Revision 1.4  2001/06/14 08:50:46  rudi
//
//
//               Changed name of channel register file module.
//               Changed name of channel register file module.
//
//
//               Revision 1.3  2001/06/13 02:26:48  rudi
//               Revision 1.3  2001/06/13 02:26:48  rudi
Line 256... Line 262...
// Aliases
// Aliases
//
//
 
 
assign int_maska = {1'h0, int_maska_r};
assign int_maska = {1'h0, int_maska_r};
assign int_maskb = {1'h0, int_maskb_r};
assign int_maskb = {1'h0, int_maskb_r};
//assign csr = {24'h0, csr_r[7:1], paused};
 
assign csr = {31'h0, paused};
assign csr = {31'h0, paused};
 
 
////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////
//
//
// Misc Logic
// Misc Logic
Line 289... Line 294...
           8'hc:        wb_rf_dout <= #1 ch0_adr1;
           8'hc:        wb_rf_dout <= #1 ch0_adr1;
           8'hd:        wb_rf_dout <= #1 ch0_am1;
           8'hd:        wb_rf_dout <= #1 ch0_am1;
           8'he:        wb_rf_dout <= #1 pointer0;
           8'he:        wb_rf_dout <= #1 pointer0;
           8'hf:        wb_rf_dout <= #1 sw_pointer0;
           8'hf:        wb_rf_dout <= #1 sw_pointer0;
 
 
`ifdef HAVE_CH1
`ifdef WDMA_HAVE_CH1
           8'h10:       wb_rf_dout <= #1 ch1_csr;
           8'h10:       wb_rf_dout <= #1 ch1_csr;
           8'h11:       wb_rf_dout <= #1 ch1_txsz;
           8'h11:       wb_rf_dout <= #1 ch1_txsz;
           8'h12:       wb_rf_dout <= #1 ch1_adr0;
           8'h12:       wb_rf_dout <= #1 ch1_adr0;
           8'h13:       wb_rf_dout <= #1 ch1_am0;
           8'h13:       wb_rf_dout <= #1 ch1_am0;
           8'h14:       wb_rf_dout <= #1 ch1_adr1;
           8'h14:       wb_rf_dout <= #1 ch1_adr1;
           8'h15:       wb_rf_dout <= #1 ch1_am1;
           8'h15:       wb_rf_dout <= #1 ch1_am1;
           8'h16:       wb_rf_dout <= #1 pointer1;
           8'h16:       wb_rf_dout <= #1 pointer1;
           8'h17:       wb_rf_dout <= #1 sw_pointer1;
           8'h17:       wb_rf_dout <= #1 sw_pointer1;
`endif
`endif
 
 
`ifdef HAVE_CH2
`ifdef WDMA_HAVE_CH2
           8'h18:       wb_rf_dout <= #1 ch2_csr;
           8'h18:       wb_rf_dout <= #1 ch2_csr;
           8'h19:       wb_rf_dout <= #1 ch2_txsz;
           8'h19:       wb_rf_dout <= #1 ch2_txsz;
           8'h1a:       wb_rf_dout <= #1 ch2_adr0;
           8'h1a:       wb_rf_dout <= #1 ch2_adr0;
           8'h1b:       wb_rf_dout <= #1 ch2_am0;
           8'h1b:       wb_rf_dout <= #1 ch2_am0;
           8'h1c:       wb_rf_dout <= #1 ch2_adr1;
           8'h1c:       wb_rf_dout <= #1 ch2_adr1;
           8'h1d:       wb_rf_dout <= #1 ch2_am1;
           8'h1d:       wb_rf_dout <= #1 ch2_am1;
           8'h1e:       wb_rf_dout <= #1 pointer2;
           8'h1e:       wb_rf_dout <= #1 pointer2;
           8'h1f:       wb_rf_dout <= #1 sw_pointer2;
           8'h1f:       wb_rf_dout <= #1 sw_pointer2;
`endif
`endif
 
 
`ifdef HAVE_CH3
`ifdef WDMA_HAVE_CH3
           8'h20:       wb_rf_dout <= #1 ch3_csr;
           8'h20:       wb_rf_dout <= #1 ch3_csr;
           8'h21:       wb_rf_dout <= #1 ch3_txsz;
           8'h21:       wb_rf_dout <= #1 ch3_txsz;
           8'h22:       wb_rf_dout <= #1 ch3_adr0;
           8'h22:       wb_rf_dout <= #1 ch3_adr0;
           8'h23:       wb_rf_dout <= #1 ch3_am0;
           8'h23:       wb_rf_dout <= #1 ch3_am0;
           8'h24:       wb_rf_dout <= #1 ch3_adr1;
           8'h24:       wb_rf_dout <= #1 ch3_adr1;
           8'h25:       wb_rf_dout <= #1 ch3_am1;
           8'h25:       wb_rf_dout <= #1 ch3_am1;
           8'h26:       wb_rf_dout <= #1 pointer3;
           8'h26:       wb_rf_dout <= #1 pointer3;
           8'h27:       wb_rf_dout <= #1 sw_pointer3;
           8'h27:       wb_rf_dout <= #1 sw_pointer3;
`endif
`endif
 
 
`ifdef HAVE_CH4
`ifdef WDMA_HAVE_CH4
           8'h28:       wb_rf_dout <= #1 ch4_csr;
           8'h28:       wb_rf_dout <= #1 ch4_csr;
           8'h29:       wb_rf_dout <= #1 ch4_txsz;
           8'h29:       wb_rf_dout <= #1 ch4_txsz;
           8'h2a:       wb_rf_dout <= #1 ch4_adr0;
           8'h2a:       wb_rf_dout <= #1 ch4_adr0;
           8'h2b:       wb_rf_dout <= #1 ch4_am0;
           8'h2b:       wb_rf_dout <= #1 ch4_am0;
           8'h2c:       wb_rf_dout <= #1 ch4_adr1;
           8'h2c:       wb_rf_dout <= #1 ch4_adr1;
           8'h2d:       wb_rf_dout <= #1 ch4_am1;
           8'h2d:       wb_rf_dout <= #1 ch4_am1;
           8'h2e:       wb_rf_dout <= #1 pointer4;
           8'h2e:       wb_rf_dout <= #1 pointer4;
           8'h2f:       wb_rf_dout <= #1 sw_pointer4;
           8'h2f:       wb_rf_dout <= #1 sw_pointer4;
`endif
`endif
 
 
`ifdef HAVE_CH5
`ifdef WDMA_HAVE_CH5
           8'h30:       wb_rf_dout <= #1 ch5_csr;
           8'h30:       wb_rf_dout <= #1 ch5_csr;
           8'h31:       wb_rf_dout <= #1 ch5_txsz;
           8'h31:       wb_rf_dout <= #1 ch5_txsz;
           8'h32:       wb_rf_dout <= #1 ch5_adr0;
           8'h32:       wb_rf_dout <= #1 ch5_adr0;
           8'h33:       wb_rf_dout <= #1 ch5_am0;
           8'h33:       wb_rf_dout <= #1 ch5_am0;
           8'h34:       wb_rf_dout <= #1 ch5_adr1;
           8'h34:       wb_rf_dout <= #1 ch5_adr1;
           8'h35:       wb_rf_dout <= #1 ch5_am1;
           8'h35:       wb_rf_dout <= #1 ch5_am1;
           8'h36:       wb_rf_dout <= #1 pointer5;
           8'h36:       wb_rf_dout <= #1 pointer5;
           8'h37:       wb_rf_dout <= #1 sw_pointer5;
           8'h37:       wb_rf_dout <= #1 sw_pointer5;
`endif
`endif
 
 
`ifdef HAVE_CH6
`ifdef WDMA_HAVE_CH6
           8'h38:       wb_rf_dout <= #1 ch6_csr;
           8'h38:       wb_rf_dout <= #1 ch6_csr;
           8'h39:       wb_rf_dout <= #1 ch6_txsz;
           8'h39:       wb_rf_dout <= #1 ch6_txsz;
           8'h3a:       wb_rf_dout <= #1 ch6_adr0;
           8'h3a:       wb_rf_dout <= #1 ch6_adr0;
           8'h3b:       wb_rf_dout <= #1 ch6_am0;
           8'h3b:       wb_rf_dout <= #1 ch6_am0;
           8'h3c:       wb_rf_dout <= #1 ch6_adr1;
           8'h3c:       wb_rf_dout <= #1 ch6_adr1;
           8'h3d:       wb_rf_dout <= #1 ch6_am1;
           8'h3d:       wb_rf_dout <= #1 ch6_am1;
           8'h3e:       wb_rf_dout <= #1 pointer6;
           8'h3e:       wb_rf_dout <= #1 pointer6;
           8'h3f:       wb_rf_dout <= #1 sw_pointer6;
           8'h3f:       wb_rf_dout <= #1 sw_pointer6;
`endif
`endif
 
 
`ifdef HAVE_CH7
`ifdef WDMA_HAVE_CH7
           8'h40:       wb_rf_dout <= #1 ch7_csr;
           8'h40:       wb_rf_dout <= #1 ch7_csr;
           8'h41:       wb_rf_dout <= #1 ch7_txsz;
           8'h41:       wb_rf_dout <= #1 ch7_txsz;
           8'h42:       wb_rf_dout <= #1 ch7_adr0;
           8'h42:       wb_rf_dout <= #1 ch7_adr0;
           8'h43:       wb_rf_dout <= #1 ch7_am0;
           8'h43:       wb_rf_dout <= #1 ch7_am0;
           8'h44:       wb_rf_dout <= #1 ch7_adr1;
           8'h44:       wb_rf_dout <= #1 ch7_adr1;
           8'h45:       wb_rf_dout <= #1 ch7_am1;
           8'h45:       wb_rf_dout <= #1 ch7_am1;
           8'h46:       wb_rf_dout <= #1 pointer7;
           8'h46:       wb_rf_dout <= #1 pointer7;
           8'h47:       wb_rf_dout <= #1 sw_pointer7;
           8'h47:       wb_rf_dout <= #1 sw_pointer7;
`endif
`endif
 
 
`ifdef HAVE_CH8
`ifdef WDMA_HAVE_CH8
           8'h48:       wb_rf_dout <= #1 ch8_csr;
           8'h48:       wb_rf_dout <= #1 ch8_csr;
           8'h49:       wb_rf_dout <= #1 ch8_txsz;
           8'h49:       wb_rf_dout <= #1 ch8_txsz;
           8'h4a:       wb_rf_dout <= #1 ch8_adr0;
           8'h4a:       wb_rf_dout <= #1 ch8_adr0;
           8'h4b:       wb_rf_dout <= #1 ch8_am0;
           8'h4b:       wb_rf_dout <= #1 ch8_am0;
           8'h4c:       wb_rf_dout <= #1 ch8_adr1;
           8'h4c:       wb_rf_dout <= #1 ch8_adr1;
           8'h4d:       wb_rf_dout <= #1 ch8_am1;
           8'h4d:       wb_rf_dout <= #1 ch8_am1;
           8'h4e:       wb_rf_dout <= #1 pointer8;
           8'h4e:       wb_rf_dout <= #1 pointer8;
           8'h4f:       wb_rf_dout <= #1 sw_pointer8;
           8'h4f:       wb_rf_dout <= #1 sw_pointer8;
`endif
`endif
 
 
`ifdef HAVE_CH9
`ifdef WDMA_HAVE_CH9
           8'h50:       wb_rf_dout <= #1 ch9_csr;
           8'h50:       wb_rf_dout <= #1 ch9_csr;
           8'h51:       wb_rf_dout <= #1 ch9_txsz;
           8'h51:       wb_rf_dout <= #1 ch9_txsz;
           8'h52:       wb_rf_dout <= #1 ch9_adr0;
           8'h52:       wb_rf_dout <= #1 ch9_adr0;
           8'h53:       wb_rf_dout <= #1 ch9_am0;
           8'h53:       wb_rf_dout <= #1 ch9_am0;
           8'h54:       wb_rf_dout <= #1 ch9_adr1;
           8'h54:       wb_rf_dout <= #1 ch9_adr1;
           8'h55:       wb_rf_dout <= #1 ch9_am1;
           8'h55:       wb_rf_dout <= #1 ch9_am1;
           8'h56:       wb_rf_dout <= #1 pointer9;
           8'h56:       wb_rf_dout <= #1 pointer9;
           8'h57:       wb_rf_dout <= #1 sw_pointer9;
           8'h57:       wb_rf_dout <= #1 sw_pointer9;
`endif
`endif
 
 
`ifdef HAVE_CH10
`ifdef WDMA_HAVE_CH10
           8'h58:       wb_rf_dout <= #1 ch10_csr;
           8'h58:       wb_rf_dout <= #1 ch10_csr;
           8'h59:       wb_rf_dout <= #1 ch10_txsz;
           8'h59:       wb_rf_dout <= #1 ch10_txsz;
           8'h5a:       wb_rf_dout <= #1 ch10_adr0;
           8'h5a:       wb_rf_dout <= #1 ch10_adr0;
           8'h5b:       wb_rf_dout <= #1 ch10_am0;
           8'h5b:       wb_rf_dout <= #1 ch10_am0;
           8'h5c:       wb_rf_dout <= #1 ch10_adr1;
           8'h5c:       wb_rf_dout <= #1 ch10_adr1;
           8'h5d:       wb_rf_dout <= #1 ch10_am1;
           8'h5d:       wb_rf_dout <= #1 ch10_am1;
           8'h5e:       wb_rf_dout <= #1 pointer10;
           8'h5e:       wb_rf_dout <= #1 pointer10;
           8'h5f:       wb_rf_dout <= #1 sw_pointer10;
           8'h5f:       wb_rf_dout <= #1 sw_pointer10;
`endif
`endif
 
 
`ifdef HAVE_CH11
`ifdef WDMA_HAVE_CH11
           8'h60:       wb_rf_dout <= #1 ch11_csr;
           8'h60:       wb_rf_dout <= #1 ch11_csr;
           8'h61:       wb_rf_dout <= #1 ch11_txsz;
           8'h61:       wb_rf_dout <= #1 ch11_txsz;
           8'h62:       wb_rf_dout <= #1 ch11_adr0;
           8'h62:       wb_rf_dout <= #1 ch11_adr0;
           8'h63:       wb_rf_dout <= #1 ch11_am0;
           8'h63:       wb_rf_dout <= #1 ch11_am0;
           8'h64:       wb_rf_dout <= #1 ch11_adr1;
           8'h64:       wb_rf_dout <= #1 ch11_adr1;
           8'h65:       wb_rf_dout <= #1 ch11_am1;
           8'h65:       wb_rf_dout <= #1 ch11_am1;
           8'h66:       wb_rf_dout <= #1 pointer11;
           8'h66:       wb_rf_dout <= #1 pointer11;
           8'h67:       wb_rf_dout <= #1 sw_pointer11;
           8'h67:       wb_rf_dout <= #1 sw_pointer11;
`endif
`endif
 
 
`ifdef HAVE_CH12
`ifdef WDMA_HAVE_CH12
           8'h68:       wb_rf_dout <= #1 ch12_csr;
           8'h68:       wb_rf_dout <= #1 ch12_csr;
           8'h69:       wb_rf_dout <= #1 ch12_txsz;
           8'h69:       wb_rf_dout <= #1 ch12_txsz;
           8'h6a:       wb_rf_dout <= #1 ch12_adr0;
           8'h6a:       wb_rf_dout <= #1 ch12_adr0;
           8'h6b:       wb_rf_dout <= #1 ch12_am0;
           8'h6b:       wb_rf_dout <= #1 ch12_am0;
           8'h6c:       wb_rf_dout <= #1 ch12_adr1;
           8'h6c:       wb_rf_dout <= #1 ch12_adr1;
           8'h6d:       wb_rf_dout <= #1 ch12_am1;
           8'h6d:       wb_rf_dout <= #1 ch12_am1;
           8'h6e:       wb_rf_dout <= #1 pointer12;
           8'h6e:       wb_rf_dout <= #1 pointer12;
           8'h6f:       wb_rf_dout <= #1 sw_pointer12;
           8'h6f:       wb_rf_dout <= #1 sw_pointer12;
`endif
`endif
 
 
`ifdef HAVE_CH13
`ifdef WDMA_HAVE_CH13
           8'h70:       wb_rf_dout <= #1 ch13_csr;
           8'h70:       wb_rf_dout <= #1 ch13_csr;
           8'h71:       wb_rf_dout <= #1 ch13_txsz;
           8'h71:       wb_rf_dout <= #1 ch13_txsz;
           8'h72:       wb_rf_dout <= #1 ch13_adr0;
           8'h72:       wb_rf_dout <= #1 ch13_adr0;
           8'h73:       wb_rf_dout <= #1 ch13_am0;
           8'h73:       wb_rf_dout <= #1 ch13_am0;
           8'h74:       wb_rf_dout <= #1 ch13_adr1;
           8'h74:       wb_rf_dout <= #1 ch13_adr1;
           8'h75:       wb_rf_dout <= #1 ch13_am1;
           8'h75:       wb_rf_dout <= #1 ch13_am1;
           8'h76:       wb_rf_dout <= #1 pointer13;
           8'h76:       wb_rf_dout <= #1 pointer13;
           8'h77:       wb_rf_dout <= #1 sw_pointer13;
           8'h77:       wb_rf_dout <= #1 sw_pointer13;
`endif
`endif
 
 
`ifdef HAVE_CH14
`ifdef WDMA_HAVE_CH14
           8'h78:       wb_rf_dout <= #1 ch14_csr;
           8'h78:       wb_rf_dout <= #1 ch14_csr;
           8'h79:       wb_rf_dout <= #1 ch14_txsz;
           8'h79:       wb_rf_dout <= #1 ch14_txsz;
           8'h7a:       wb_rf_dout <= #1 ch14_adr0;
           8'h7a:       wb_rf_dout <= #1 ch14_adr0;
           8'h7b:       wb_rf_dout <= #1 ch14_am0;
           8'h7b:       wb_rf_dout <= #1 ch14_am0;
           8'h7c:       wb_rf_dout <= #1 ch14_adr1;
           8'h7c:       wb_rf_dout <= #1 ch14_adr1;
           8'h7d:       wb_rf_dout <= #1 ch14_am1;
           8'h7d:       wb_rf_dout <= #1 ch14_am1;
           8'h7e:       wb_rf_dout <= #1 pointer14;
           8'h7e:       wb_rf_dout <= #1 pointer14;
           8'h7f:       wb_rf_dout <= #1 sw_pointer14;
           8'h7f:       wb_rf_dout <= #1 sw_pointer14;
`endif
`endif
 
 
`ifdef HAVE_CH15
`ifdef WDMA_HAVE_CH15
           8'h80:       wb_rf_dout <= #1 ch15_csr;
           8'h80:       wb_rf_dout <= #1 ch15_csr;
           8'h81:       wb_rf_dout <= #1 ch15_txsz;
           8'h81:       wb_rf_dout <= #1 ch15_txsz;
           8'h82:       wb_rf_dout <= #1 ch15_adr0;
           8'h82:       wb_rf_dout <= #1 ch15_adr0;
           8'h83:       wb_rf_dout <= #1 ch15_am0;
           8'h83:       wb_rf_dout <= #1 ch15_am0;
           8'h84:       wb_rf_dout <= #1 ch15_adr1;
           8'h84:       wb_rf_dout <= #1 ch15_adr1;
           8'h85:       wb_rf_dout <= #1 ch15_am1;
           8'h85:       wb_rf_dout <= #1 ch15_am1;
           8'h86:       wb_rf_dout <= #1 pointer15;
           8'h86:       wb_rf_dout <= #1 pointer15;
           8'h87:       wb_rf_dout <= #1 sw_pointer15;
           8'h87:       wb_rf_dout <= #1 sw_pointer15;
`endif
`endif
 
 
`ifdef HAVE_CH16
`ifdef WDMA_HAVE_CH16
           8'h88:       wb_rf_dout <= #1 ch16_csr;
           8'h88:       wb_rf_dout <= #1 ch16_csr;
           8'h89:       wb_rf_dout <= #1 ch16_txsz;
           8'h89:       wb_rf_dout <= #1 ch16_txsz;
           8'h8a:       wb_rf_dout <= #1 ch16_adr0;
           8'h8a:       wb_rf_dout <= #1 ch16_adr0;
           8'h8b:       wb_rf_dout <= #1 ch16_am0;
           8'h8b:       wb_rf_dout <= #1 ch16_am0;
           8'h8c:       wb_rf_dout <= #1 ch16_adr1;
           8'h8c:       wb_rf_dout <= #1 ch16_adr1;
           8'h8d:       wb_rf_dout <= #1 ch16_am1;
           8'h8d:       wb_rf_dout <= #1 ch16_am1;
           8'h8e:       wb_rf_dout <= #1 pointer16;
           8'h8e:       wb_rf_dout <= #1 pointer16;
           8'h8f:       wb_rf_dout <= #1 sw_pointer16;
           8'h8f:       wb_rf_dout <= #1 sw_pointer16;
`endif
`endif
 
 
`ifdef HAVE_CH17
`ifdef WDMA_HAVE_CH17
           8'h90:       wb_rf_dout <= #1 ch17_csr;
           8'h90:       wb_rf_dout <= #1 ch17_csr;
           8'h91:       wb_rf_dout <= #1 ch17_txsz;
           8'h91:       wb_rf_dout <= #1 ch17_txsz;
           8'h92:       wb_rf_dout <= #1 ch17_adr0;
           8'h92:       wb_rf_dout <= #1 ch17_adr0;
           8'h93:       wb_rf_dout <= #1 ch17_am0;
           8'h93:       wb_rf_dout <= #1 ch17_am0;
           8'h94:       wb_rf_dout <= #1 ch17_adr1;
           8'h94:       wb_rf_dout <= #1 ch17_adr1;
           8'h95:       wb_rf_dout <= #1 ch17_am1;
           8'h95:       wb_rf_dout <= #1 ch17_am1;
           8'h96:       wb_rf_dout <= #1 pointer17;
           8'h96:       wb_rf_dout <= #1 pointer17;
           8'h97:       wb_rf_dout <= #1 sw_pointer17;
           8'h97:       wb_rf_dout <= #1 sw_pointer17;
`endif
`endif
 
 
`ifdef HAVE_CH18
`ifdef WDMA_HAVE_CH18
           8'h98:       wb_rf_dout <= #1 ch18_csr;
           8'h98:       wb_rf_dout <= #1 ch18_csr;
           8'h99:       wb_rf_dout <= #1 ch18_txsz;
           8'h99:       wb_rf_dout <= #1 ch18_txsz;
           8'h9a:       wb_rf_dout <= #1 ch18_adr0;
           8'h9a:       wb_rf_dout <= #1 ch18_adr0;
           8'h9b:       wb_rf_dout <= #1 ch18_am0;
           8'h9b:       wb_rf_dout <= #1 ch18_am0;
           8'h9c:       wb_rf_dout <= #1 ch18_adr1;
           8'h9c:       wb_rf_dout <= #1 ch18_adr1;
           8'h9d:       wb_rf_dout <= #1 ch18_am1;
           8'h9d:       wb_rf_dout <= #1 ch18_am1;
           8'h9e:       wb_rf_dout <= #1 pointer18;
           8'h9e:       wb_rf_dout <= #1 pointer18;
           8'h9f:       wb_rf_dout <= #1 sw_pointer18;
           8'h9f:       wb_rf_dout <= #1 sw_pointer18;
`endif
`endif
 
 
`ifdef HAVE_CH19
`ifdef WDMA_HAVE_CH19
           8'ha0:       wb_rf_dout <= #1 ch19_csr;
           8'ha0:       wb_rf_dout <= #1 ch19_csr;
           8'ha1:       wb_rf_dout <= #1 ch19_txsz;
           8'ha1:       wb_rf_dout <= #1 ch19_txsz;
           8'ha2:       wb_rf_dout <= #1 ch19_adr0;
           8'ha2:       wb_rf_dout <= #1 ch19_adr0;
           8'ha3:       wb_rf_dout <= #1 ch19_am0;
           8'ha3:       wb_rf_dout <= #1 ch19_am0;
           8'ha4:       wb_rf_dout <= #1 ch19_adr1;
           8'ha4:       wb_rf_dout <= #1 ch19_adr1;
           8'ha5:       wb_rf_dout <= #1 ch19_am1;
           8'ha5:       wb_rf_dout <= #1 ch19_am1;
           8'ha6:       wb_rf_dout <= #1 pointer19;
           8'ha6:       wb_rf_dout <= #1 pointer19;
           8'ha7:       wb_rf_dout <= #1 sw_pointer19;
           8'ha7:       wb_rf_dout <= #1 sw_pointer19;
`endif
`endif
 
 
`ifdef HAVE_CH20
`ifdef WDMA_HAVE_CH20
           8'ha8:       wb_rf_dout <= #1 ch20_csr;
           8'ha8:       wb_rf_dout <= #1 ch20_csr;
           8'ha9:       wb_rf_dout <= #1 ch20_txsz;
           8'ha9:       wb_rf_dout <= #1 ch20_txsz;
           8'haa:       wb_rf_dout <= #1 ch20_adr0;
           8'haa:       wb_rf_dout <= #1 ch20_adr0;
           8'hab:       wb_rf_dout <= #1 ch20_am0;
           8'hab:       wb_rf_dout <= #1 ch20_am0;
           8'hac:       wb_rf_dout <= #1 ch20_adr1;
           8'hac:       wb_rf_dout <= #1 ch20_adr1;
           8'had:       wb_rf_dout <= #1 ch20_am1;
           8'had:       wb_rf_dout <= #1 ch20_am1;
           8'hae:       wb_rf_dout <= #1 pointer20;
           8'hae:       wb_rf_dout <= #1 pointer20;
           8'haf:       wb_rf_dout <= #1 sw_pointer20;
           8'haf:       wb_rf_dout <= #1 sw_pointer20;
`endif
`endif
 
 
`ifdef HAVE_CH21
`ifdef WDMA_HAVE_CH21
           8'hb0:       wb_rf_dout <= #1 ch21_csr;
           8'hb0:       wb_rf_dout <= #1 ch21_csr;
           8'hb1:       wb_rf_dout <= #1 ch21_txsz;
           8'hb1:       wb_rf_dout <= #1 ch21_txsz;
           8'hb2:       wb_rf_dout <= #1 ch21_adr0;
           8'hb2:       wb_rf_dout <= #1 ch21_adr0;
           8'hb3:       wb_rf_dout <= #1 ch21_am0;
           8'hb3:       wb_rf_dout <= #1 ch21_am0;
           8'hb4:       wb_rf_dout <= #1 ch21_adr1;
           8'hb4:       wb_rf_dout <= #1 ch21_adr1;
           8'hb5:       wb_rf_dout <= #1 ch21_am1;
           8'hb5:       wb_rf_dout <= #1 ch21_am1;
           8'hb6:       wb_rf_dout <= #1 pointer21;
           8'hb6:       wb_rf_dout <= #1 pointer21;
           8'hb7:       wb_rf_dout <= #1 sw_pointer21;
           8'hb7:       wb_rf_dout <= #1 sw_pointer21;
`endif
`endif
 
 
`ifdef HAVE_CH22
`ifdef WDMA_HAVE_CH22
           8'hb8:       wb_rf_dout <= #1 ch22_csr;
           8'hb8:       wb_rf_dout <= #1 ch22_csr;
           8'hb9:       wb_rf_dout <= #1 ch22_txsz;
           8'hb9:       wb_rf_dout <= #1 ch22_txsz;
           8'hba:       wb_rf_dout <= #1 ch22_adr0;
           8'hba:       wb_rf_dout <= #1 ch22_adr0;
           8'hbb:       wb_rf_dout <= #1 ch22_am0;
           8'hbb:       wb_rf_dout <= #1 ch22_am0;
           8'hbc:       wb_rf_dout <= #1 ch22_adr1;
           8'hbc:       wb_rf_dout <= #1 ch22_adr1;
           8'hbd:       wb_rf_dout <= #1 ch22_am1;
           8'hbd:       wb_rf_dout <= #1 ch22_am1;
           8'hbe:       wb_rf_dout <= #1 pointer22;
           8'hbe:       wb_rf_dout <= #1 pointer22;
           8'hbf:       wb_rf_dout <= #1 sw_pointer22;
           8'hbf:       wb_rf_dout <= #1 sw_pointer22;
`endif
`endif
 
 
`ifdef HAVE_CH23
`ifdef WDMA_HAVE_CH23
           8'hc0:       wb_rf_dout <= #1 ch23_csr;
           8'hc0:       wb_rf_dout <= #1 ch23_csr;
           8'hc1:       wb_rf_dout <= #1 ch23_txsz;
           8'hc1:       wb_rf_dout <= #1 ch23_txsz;
           8'hc2:       wb_rf_dout <= #1 ch23_adr0;
           8'hc2:       wb_rf_dout <= #1 ch23_adr0;
           8'hc3:       wb_rf_dout <= #1 ch23_am0;
           8'hc3:       wb_rf_dout <= #1 ch23_am0;
           8'hc4:       wb_rf_dout <= #1 ch23_adr1;
           8'hc4:       wb_rf_dout <= #1 ch23_adr1;
           8'hc5:       wb_rf_dout <= #1 ch23_am1;
           8'hc5:       wb_rf_dout <= #1 ch23_am1;
           8'hc6:       wb_rf_dout <= #1 pointer23;
           8'hc6:       wb_rf_dout <= #1 pointer23;
           8'hc7:       wb_rf_dout <= #1 sw_pointer23;
           8'hc7:       wb_rf_dout <= #1 sw_pointer23;
`endif
`endif
 
 
`ifdef HAVE_CH24
`ifdef WDMA_HAVE_CH24
           8'hc8:       wb_rf_dout <= #1 ch24_csr;
           8'hc8:       wb_rf_dout <= #1 ch24_csr;
           8'hc9:       wb_rf_dout <= #1 ch24_txsz;
           8'hc9:       wb_rf_dout <= #1 ch24_txsz;
           8'hca:       wb_rf_dout <= #1 ch24_adr0;
           8'hca:       wb_rf_dout <= #1 ch24_adr0;
           8'hcb:       wb_rf_dout <= #1 ch24_am0;
           8'hcb:       wb_rf_dout <= #1 ch24_am0;
           8'hcc:       wb_rf_dout <= #1 ch24_adr1;
           8'hcc:       wb_rf_dout <= #1 ch24_adr1;
           8'hcd:       wb_rf_dout <= #1 ch24_am1;
           8'hcd:       wb_rf_dout <= #1 ch24_am1;
           8'hce:       wb_rf_dout <= #1 pointer24;
           8'hce:       wb_rf_dout <= #1 pointer24;
           8'hcf:       wb_rf_dout <= #1 sw_pointer24;
           8'hcf:       wb_rf_dout <= #1 sw_pointer24;
`endif
`endif
 
 
`ifdef HAVE_CH25
`ifdef WDMA_HAVE_CH25
           8'hd0:       wb_rf_dout <= #1 ch25_csr;
           8'hd0:       wb_rf_dout <= #1 ch25_csr;
           8'hd1:       wb_rf_dout <= #1 ch25_txsz;
           8'hd1:       wb_rf_dout <= #1 ch25_txsz;
           8'hd2:       wb_rf_dout <= #1 ch25_adr0;
           8'hd2:       wb_rf_dout <= #1 ch25_adr0;
           8'hd3:       wb_rf_dout <= #1 ch25_am0;
           8'hd3:       wb_rf_dout <= #1 ch25_am0;
           8'hd4:       wb_rf_dout <= #1 ch25_adr1;
           8'hd4:       wb_rf_dout <= #1 ch25_adr1;
           8'hd5:       wb_rf_dout <= #1 ch25_am1;
           8'hd5:       wb_rf_dout <= #1 ch25_am1;
           8'hd6:       wb_rf_dout <= #1 pointer25;
           8'hd6:       wb_rf_dout <= #1 pointer25;
           8'hd7:       wb_rf_dout <= #1 sw_pointer25;
           8'hd7:       wb_rf_dout <= #1 sw_pointer25;
`endif
`endif
 
 
`ifdef HAVE_CH26
`ifdef WDMA_HAVE_CH26
           8'hd8:       wb_rf_dout <= #1 ch26_csr;
           8'hd8:       wb_rf_dout <= #1 ch26_csr;
           8'hd9:       wb_rf_dout <= #1 ch26_txsz;
           8'hd9:       wb_rf_dout <= #1 ch26_txsz;
           8'hda:       wb_rf_dout <= #1 ch26_adr0;
           8'hda:       wb_rf_dout <= #1 ch26_adr0;
           8'hdb:       wb_rf_dout <= #1 ch26_am0;
           8'hdb:       wb_rf_dout <= #1 ch26_am0;
           8'hdc:       wb_rf_dout <= #1 ch26_adr1;
           8'hdc:       wb_rf_dout <= #1 ch26_adr1;
           8'hdd:       wb_rf_dout <= #1 ch26_am1;
           8'hdd:       wb_rf_dout <= #1 ch26_am1;
           8'hde:       wb_rf_dout <= #1 pointer26;
           8'hde:       wb_rf_dout <= #1 pointer26;
           8'hdf:       wb_rf_dout <= #1 sw_pointer26;
           8'hdf:       wb_rf_dout <= #1 sw_pointer26;
`endif
`endif
 
 
`ifdef HAVE_CH27
`ifdef WDMA_HAVE_CH27
           8'he0:       wb_rf_dout <= #1 ch27_csr;
           8'he0:       wb_rf_dout <= #1 ch27_csr;
           8'he1:       wb_rf_dout <= #1 ch27_txsz;
           8'he1:       wb_rf_dout <= #1 ch27_txsz;
           8'he2:       wb_rf_dout <= #1 ch27_adr0;
           8'he2:       wb_rf_dout <= #1 ch27_adr0;
           8'he3:       wb_rf_dout <= #1 ch27_am0;
           8'he3:       wb_rf_dout <= #1 ch27_am0;
           8'he4:       wb_rf_dout <= #1 ch27_adr1;
           8'he4:       wb_rf_dout <= #1 ch27_adr1;
           8'he5:       wb_rf_dout <= #1 ch27_am1;
           8'he5:       wb_rf_dout <= #1 ch27_am1;
           8'he6:       wb_rf_dout <= #1 pointer27;
           8'he6:       wb_rf_dout <= #1 pointer27;
           8'he7:       wb_rf_dout <= #1 sw_pointer27;
           8'he7:       wb_rf_dout <= #1 sw_pointer27;
`endif
`endif
 
 
`ifdef HAVE_CH28
`ifdef WDMA_HAVE_CH28
           8'he8:       wb_rf_dout <= #1 ch28_csr;
           8'he8:       wb_rf_dout <= #1 ch28_csr;
           8'he9:       wb_rf_dout <= #1 ch28_txsz;
           8'he9:       wb_rf_dout <= #1 ch28_txsz;
           8'hea:       wb_rf_dout <= #1 ch28_adr0;
           8'hea:       wb_rf_dout <= #1 ch28_adr0;
           8'heb:       wb_rf_dout <= #1 ch28_am0;
           8'heb:       wb_rf_dout <= #1 ch28_am0;
           8'hec:       wb_rf_dout <= #1 ch28_adr1;
           8'hec:       wb_rf_dout <= #1 ch28_adr1;
           8'hed:       wb_rf_dout <= #1 ch28_am1;
           8'hed:       wb_rf_dout <= #1 ch28_am1;
           8'hee:       wb_rf_dout <= #1 pointer28;
           8'hee:       wb_rf_dout <= #1 pointer28;
           8'hef:       wb_rf_dout <= #1 sw_pointer28;
           8'hef:       wb_rf_dout <= #1 sw_pointer28;
`endif
`endif
 
 
`ifdef HAVE_CH29
`ifdef WDMA_HAVE_CH29
           8'hf0:       wb_rf_dout <= #1 ch29_csr;
           8'hf0:       wb_rf_dout <= #1 ch29_csr;
           8'hf1:       wb_rf_dout <= #1 ch29_txsz;
           8'hf1:       wb_rf_dout <= #1 ch29_txsz;
           8'hf2:       wb_rf_dout <= #1 ch29_adr0;
           8'hf2:       wb_rf_dout <= #1 ch29_adr0;
           8'hf3:       wb_rf_dout <= #1 ch29_am0;
           8'hf3:       wb_rf_dout <= #1 ch29_am0;
           8'hf4:       wb_rf_dout <= #1 ch29_adr1;
           8'hf4:       wb_rf_dout <= #1 ch29_adr1;
           8'hf5:       wb_rf_dout <= #1 ch29_am1;
           8'hf5:       wb_rf_dout <= #1 ch29_am1;
           8'hf6:       wb_rf_dout <= #1 pointer29;
           8'hf6:       wb_rf_dout <= #1 pointer29;
           8'hf7:       wb_rf_dout <= #1 sw_pointer29;
           8'hf7:       wb_rf_dout <= #1 sw_pointer29;
`endif
`endif
 
 
`ifdef HAVE_CH30
`ifdef WDMA_HAVE_CH30
           8'hf8:       wb_rf_dout <= #1 ch30_csr;
           8'hf8:       wb_rf_dout <= #1 ch30_csr;
           8'hf9:       wb_rf_dout <= #1 ch30_txsz;
           8'hf9:       wb_rf_dout <= #1 ch30_txsz;
           8'hfa:       wb_rf_dout <= #1 ch30_adr0;
           8'hfa:       wb_rf_dout <= #1 ch30_adr0;
           8'hfb:       wb_rf_dout <= #1 ch30_am0;
           8'hfb:       wb_rf_dout <= #1 ch30_am0;
           8'hfc:       wb_rf_dout <= #1 ch30_adr1;
           8'hfc:       wb_rf_dout <= #1 ch30_adr1;
           8'hfd:       wb_rf_dout <= #1 ch30_am1;
           8'hfd:       wb_rf_dout <= #1 ch30_am1;
           8'hfe:       wb_rf_dout <= #1 pointer30;
           8'hfe:       wb_rf_dout <= #1 pointer30;
           8'hff:       wb_rf_dout <= #1 sw_pointer30;
           8'hff:       wb_rf_dout <= #1 sw_pointer30;
`endif
`endif
 
 
`ifdef HAVE_CH31
`ifdef WDMA_HAVE_CH31
           8'h100:      wb_rf_dout <= #1 ch31_csr;
           8'h100:      wb_rf_dout <= #1 ch31_csr;
           8'h101:      wb_rf_dout <= #1 ch31_txsz;
           8'h101:      wb_rf_dout <= #1 ch31_txsz;
           8'h102:      wb_rf_dout <= #1 ch31_adr0;
           8'h102:      wb_rf_dout <= #1 ch31_adr0;
           8'h103:      wb_rf_dout <= #1 ch31_am0;
           8'h103:      wb_rf_dout <= #1 ch31_am0;
           8'h104:      wb_rf_dout <= #1 ch31_adr1;
           8'h104:      wb_rf_dout <= #1 ch31_adr1;
Line 646... Line 651...
assign int_maskb_we     = wb_rf_we & (wb_rf_adr == 8'h2);
assign int_maskb_we     = wb_rf_we & (wb_rf_adr == 8'h2);
 
 
// ---------------------------------------------------
// ---------------------------------------------------
 
 
always @(posedge clk or negedge rst)
always @(posedge clk or negedge rst)
        if(!rst)                csr_r <= #1 0;
        if(!rst)                csr_r <= #1 8'h0;
        else
        else
        if(csr_we)              csr_r <= #1 wb_rf_din[7:0];
        if(csr_we)              csr_r <= #1 wb_rf_din[7:0];
 
 
// ---------------------------------------------------
// ---------------------------------------------------
// INT_MASK
// INT_MASK
always @(posedge clk or negedge rst)
always @(posedge clk or negedge rst)
        if(!rst)                int_maska_r <= #1 0;
        if(!rst)                int_maska_r <= #1 31'h0;
        else
        else
        if(int_maska_we)        int_maska_r <= #1 wb_rf_din[30:0];
        if(int_maska_we)        int_maska_r <= #1 wb_rf_din[30:0];
 
 
always @(posedge clk or negedge rst)
always @(posedge clk or negedge rst)
        if(!rst)                int_maskb_r <= #1 0;
        if(!rst)                int_maskb_r <= #1 31'h0;
        else
        else
        if(int_maskb_we)        int_maskb_r <= #1 wb_rf_din[30:0];
        if(int_maskb_we)        int_maskb_r <= #1 wb_rf_din[30:0];
 
 
////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////
//
//
Line 682... Line 687...
////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////
//
//
// Channel Register File
// Channel Register File
//
//
 
 
wb_dma_ch_rf #(0, `HAVE_ARS0, `HAVE_ED0, `HAVE_CBUF0) u0(
wb_dma_ch_rf #(0, `WDMA_HAVE_ARS0, `WDMA_HAVE_ED0, `WDMA_HAVE_CBUF0) u0(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer0        ),
                .pointer(       pointer0        ),
                .pointer_s(     pointer0_s      ),
                .pointer_s(     pointer0_s      ),
                .ch_csr(        ch0_csr         ),
                .ch_csr(        ch0_csr         ),
Line 720... Line 725...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[0]      ),
                .dma_rest(      dma_rest[0]      ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
 
 
`ifdef HAVE_CH1
`ifdef WDMA_HAVE_CH1
wb_dma_ch_rf #(1, `HAVE_ARS1, `HAVE_ED1, `HAVE_CBUF1) u1(
wb_dma_ch_rf #(1, `WDMA_HAVE_ARS1, `WDMA_HAVE_ED1, `WDMA_HAVE_CBUF1) u1(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer1        ),
                .pointer(       pointer1        ),
                .pointer_s(     pointer1_s      ),
                .pointer_s(     pointer1_s      ),
                .ch_csr(        ch1_csr         ),
                .ch_csr(        ch1_csr         ),
Line 759... Line 764...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[1]     ),
                .dma_rest(      dma_rest[1]     ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(1, `HAVE_ARS1, `HAVE_ED1, `HAVE_CBUF1) u1(
wb_dma_ch_rf_dummy #(1, `WDMA_HAVE_ARS1, `WDMA_HAVE_ED1, `WDMA_HAVE_CBUF1) u1(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer1        ),
                .pointer(       pointer1        ),
                .pointer_s(     pointer1_s      ),
                .pointer_s(     pointer1_s      ),
                .ch_csr(        ch1_csr         ),
                .ch_csr(        ch1_csr         ),
Line 799... Line 804...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH2
`ifdef WDMA_HAVE_CH2
wb_dma_ch_rf #(2, `HAVE_ARS2, `HAVE_ED2, `HAVE_CBUF2) u2(
wb_dma_ch_rf #(2, `WDMA_HAVE_ARS2, `WDMA_HAVE_ED2, `WDMA_HAVE_CBUF2) u2(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer2        ),
                .pointer(       pointer2        ),
                .pointer_s(     pointer2_s      ),
                .pointer_s(     pointer2_s      ),
                .ch_csr(        ch2_csr         ),
                .ch_csr(        ch2_csr         ),
Line 838... Line 843...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[2]     ),
                .dma_rest(      dma_rest[2]     ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(2, `HAVE_ARS2, `HAVE_ED2, `HAVE_CBUF2) u2(
wb_dma_ch_rf_dummy #(2, `WDMA_HAVE_ARS2, `WDMA_HAVE_ED2, `WDMA_HAVE_CBUF2) u2(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer2        ),
                .pointer(       pointer2        ),
                .pointer_s(     pointer2_s      ),
                .pointer_s(     pointer2_s      ),
                .ch_csr(        ch2_csr         ),
                .ch_csr(        ch2_csr         ),
Line 878... Line 883...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH3
`ifdef WDMA_HAVE_CH3
wb_dma_ch_rf #(3, `HAVE_ARS3, `HAVE_ED3, `HAVE_CBUF3) u3(
wb_dma_ch_rf #(3, `WDMA_HAVE_ARS3, `WDMA_HAVE_ED3, `WDMA_HAVE_CBUF3) u3(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer3        ),
                .pointer(       pointer3        ),
                .pointer_s(     pointer3_s      ),
                .pointer_s(     pointer3_s      ),
                .ch_csr(        ch3_csr         ),
                .ch_csr(        ch3_csr         ),
Line 917... Line 922...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[3]     ),
                .dma_rest(      dma_rest[3]     ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(3, `HAVE_ARS3, `HAVE_ED3, `HAVE_CBUF3) u3(
wb_dma_ch_rf_dummy #(3, `WDMA_HAVE_ARS3, `WDMA_HAVE_ED3, `WDMA_HAVE_CBUF3) u3(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer3        ),
                .pointer(       pointer3        ),
                .pointer_s(     pointer3_s      ),
                .pointer_s(     pointer3_s      ),
                .ch_csr(        ch3_csr         ),
                .ch_csr(        ch3_csr         ),
Line 957... Line 962...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH4
`ifdef WDMA_HAVE_CH4
wb_dma_ch_rf #(4, `HAVE_ARS4, `HAVE_ED4, `HAVE_CBUF4) u4(
wb_dma_ch_rf #(4, `WDMA_HAVE_ARS4, `WDMA_HAVE_ED4, `WDMA_HAVE_CBUF4) u4(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer4        ),
                .pointer(       pointer4        ),
                .pointer_s(     pointer4_s      ),
                .pointer_s(     pointer4_s      ),
                .ch_csr(        ch4_csr         ),
                .ch_csr(        ch4_csr         ),
Line 996... Line 1001...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[4]     ),
                .dma_rest(      dma_rest[4]     ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(4, `HAVE_ARS4, `HAVE_ED4, `HAVE_CBUF4) u4(
wb_dma_ch_rf_dummy #(4, `WDMA_HAVE_ARS4, `WDMA_HAVE_ED4, `WDMA_HAVE_CBUF4) u4(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer4        ),
                .pointer(       pointer4        ),
                .pointer_s(     pointer4_s      ),
                .pointer_s(     pointer4_s      ),
                .ch_csr(        ch4_csr         ),
                .ch_csr(        ch4_csr         ),
Line 1036... Line 1041...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH5
`ifdef WDMA_HAVE_CH5
wb_dma_ch_rf #(5, `HAVE_ARS5, `HAVE_ED5, `HAVE_CBUF5) u5(
wb_dma_ch_rf #(5, `WDMA_HAVE_ARS5, `WDMA_HAVE_ED5, `WDMA_HAVE_CBUF5) u5(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer5        ),
                .pointer(       pointer5        ),
                .pointer_s(     pointer5_s      ),
                .pointer_s(     pointer5_s      ),
                .ch_csr(        ch5_csr         ),
                .ch_csr(        ch5_csr         ),
Line 1075... Line 1080...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[5]     ),
                .dma_rest(      dma_rest[5]     ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(5, `HAVE_ARS5, `HAVE_ED5, `HAVE_CBUF5) u5(
wb_dma_ch_rf_dummy #(5, `WDMA_HAVE_ARS5, `WDMA_HAVE_ED5, `WDMA_HAVE_CBUF5) u5(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer5        ),
                .pointer(       pointer5        ),
                .pointer_s(     pointer5_s      ),
                .pointer_s(     pointer5_s      ),
                .ch_csr(        ch5_csr         ),
                .ch_csr(        ch5_csr         ),
Line 1115... Line 1120...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH6
`ifdef WDMA_HAVE_CH6
wb_dma_ch_rf #(6, `HAVE_ARS6, `HAVE_ED6, `HAVE_CBUF6) u6(
wb_dma_ch_rf #(6, `WDMA_HAVE_ARS6, `WDMA_HAVE_ED6, `WDMA_HAVE_CBUF6) u6(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer6        ),
                .pointer(       pointer6        ),
                .pointer_s(     pointer6_s      ),
                .pointer_s(     pointer6_s      ),
                .ch_csr(        ch6_csr         ),
                .ch_csr(        ch6_csr         ),
Line 1154... Line 1159...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[6]     ),
                .dma_rest(      dma_rest[6]     ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(6, `HAVE_ARS6, `HAVE_ED6, `HAVE_CBUF6) u6(
wb_dma_ch_rf_dummy #(6, `WDMA_HAVE_ARS6, `WDMA_HAVE_ED6, `WDMA_HAVE_CBUF6) u6(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer6        ),
                .pointer(       pointer6        ),
                .pointer_s(     pointer6_s      ),
                .pointer_s(     pointer6_s      ),
                .ch_csr(        ch6_csr         ),
                .ch_csr(        ch6_csr         ),
Line 1194... Line 1199...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH7
`ifdef WDMA_HAVE_CH7
wb_dma_ch_rf #(7, `HAVE_ARS7, `HAVE_ED7, `HAVE_CBUF7) u7(
wb_dma_ch_rf #(7, `WDMA_HAVE_ARS7, `WDMA_HAVE_ED7, `WDMA_HAVE_CBUF7) u7(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer7        ),
                .pointer(       pointer7        ),
                .pointer_s(     pointer7_s      ),
                .pointer_s(     pointer7_s      ),
                .ch_csr(        ch7_csr         ),
                .ch_csr(        ch7_csr         ),
Line 1233... Line 1238...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[7]     ),
                .dma_rest(      dma_rest[7]     ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(7, `HAVE_ARS7, `HAVE_ED7, `HAVE_CBUF7) u7(
wb_dma_ch_rf_dummy #(7, `WDMA_HAVE_ARS7, `WDMA_HAVE_ED7, `WDMA_HAVE_CBUF7) u7(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer7        ),
                .pointer(       pointer7        ),
                .pointer_s(     pointer7_s      ),
                .pointer_s(     pointer7_s      ),
                .ch_csr(        ch7_csr         ),
                .ch_csr(        ch7_csr         ),
Line 1273... Line 1278...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH8
`ifdef WDMA_HAVE_CH8
wb_dma_ch_rf #(8, `HAVE_ARS8, `HAVE_ED8, `HAVE_CBUF8) u8(
wb_dma_ch_rf #(8, `WDMA_HAVE_ARS8, `WDMA_HAVE_ED8, `WDMA_HAVE_CBUF8) u8(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer8        ),
                .pointer(       pointer8        ),
                .pointer_s(     pointer8_s      ),
                .pointer_s(     pointer8_s      ),
                .ch_csr(        ch8_csr         ),
                .ch_csr(        ch8_csr         ),
Line 1312... Line 1317...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[8]     ),
                .dma_rest(      dma_rest[8]     ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(8, `HAVE_ARS8, `HAVE_ED8, `HAVE_CBUF8) u8(
wb_dma_ch_rf_dummy #(8, `WDMA_HAVE_ARS8, `WDMA_HAVE_ED8, `WDMA_HAVE_CBUF8) u8(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer8        ),
                .pointer(       pointer8        ),
                .pointer_s(     pointer8_s      ),
                .pointer_s(     pointer8_s      ),
                .ch_csr(        ch8_csr         ),
                .ch_csr(        ch8_csr         ),
Line 1352... Line 1357...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH9
`ifdef WDMA_HAVE_CH9
wb_dma_ch_rf #(9, `HAVE_ARS9, `HAVE_ED9, `HAVE_CBUF9) u9(
wb_dma_ch_rf #(9, `WDMA_HAVE_ARS9, `WDMA_HAVE_ED9, `WDMA_HAVE_CBUF9) u9(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer9        ),
                .pointer(       pointer9        ),
                .pointer_s(     pointer9_s      ),
                .pointer_s(     pointer9_s      ),
                .ch_csr(        ch9_csr         ),
                .ch_csr(        ch9_csr         ),
Line 1391... Line 1396...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[9]     ),
                .dma_rest(      dma_rest[9]     ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(9, `HAVE_ARS9, `HAVE_ED9, `HAVE_CBUF9) u9(
wb_dma_ch_rf_dummy #(9, `WDMA_HAVE_ARS9, `WDMA_HAVE_ED9, `WDMA_HAVE_CBUF9) u9(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer9        ),
                .pointer(       pointer9        ),
                .pointer_s(     pointer9_s      ),
                .pointer_s(     pointer9_s      ),
                .ch_csr(        ch9_csr         ),
                .ch_csr(        ch9_csr         ),
Line 1431... Line 1436...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH10
`ifdef WDMA_HAVE_CH10
wb_dma_ch_rf #(10, `HAVE_ARS10, `HAVE_ED10, `HAVE_CBUF10) u10(
wb_dma_ch_rf #(10, `WDMA_HAVE_ARS10, `WDMA_HAVE_ED10, `WDMA_HAVE_CBUF10) u10(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer10       ),
                .pointer(       pointer10       ),
                .pointer_s(     pointer10_s     ),
                .pointer_s(     pointer10_s     ),
                .ch_csr(        ch10_csr                ),
                .ch_csr(        ch10_csr                ),
Line 1470... Line 1475...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[10]    ),
                .dma_rest(      dma_rest[10]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(10, `HAVE_ARS10, `HAVE_ED10, `HAVE_CBUF10) u10(
wb_dma_ch_rf_dummy #(10, `WDMA_HAVE_ARS10, `WDMA_HAVE_ED10, `WDMA_HAVE_CBUF10) u10(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer10       ),
                .pointer(       pointer10       ),
                .pointer_s(     pointer10_s     ),
                .pointer_s(     pointer10_s     ),
                .ch_csr(        ch10_csr                ),
                .ch_csr(        ch10_csr                ),
Line 1510... Line 1515...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH11
`ifdef WDMA_HAVE_CH11
wb_dma_ch_rf #(11, `HAVE_ARS11, `HAVE_ED11, `HAVE_CBUF11) u11(
wb_dma_ch_rf #(11, `WDMA_HAVE_ARS11, `WDMA_HAVE_ED11, `WDMA_HAVE_CBUF11) u11(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer11       ),
                .pointer(       pointer11       ),
                .pointer_s(     pointer11_s     ),
                .pointer_s(     pointer11_s     ),
                .ch_csr(        ch11_csr                ),
                .ch_csr(        ch11_csr                ),
Line 1549... Line 1554...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[11]    ),
                .dma_rest(      dma_rest[11]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(11, `HAVE_ARS11, `HAVE_ED11, `HAVE_CBUF11) u11(
wb_dma_ch_rf_dummy #(11, `WDMA_HAVE_ARS11, `WDMA_HAVE_ED11, `WDMA_HAVE_CBUF11) u11(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer11       ),
                .pointer(       pointer11       ),
                .pointer_s(     pointer11_s     ),
                .pointer_s(     pointer11_s     ),
                .ch_csr(        ch11_csr                ),
                .ch_csr(        ch11_csr                ),
Line 1589... Line 1594...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH12
`ifdef WDMA_HAVE_CH12
wb_dma_ch_rf #(12, `HAVE_ARS12, `HAVE_ED12, `HAVE_CBUF12) u12(
wb_dma_ch_rf #(12, `WDMA_HAVE_ARS12, `WDMA_HAVE_ED12, `WDMA_HAVE_CBUF12) u12(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer12       ),
                .pointer(       pointer12       ),
                .pointer_s(     pointer12_s     ),
                .pointer_s(     pointer12_s     ),
                .ch_csr(        ch12_csr                ),
                .ch_csr(        ch12_csr                ),
Line 1628... Line 1633...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[12]    ),
                .dma_rest(      dma_rest[12]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(12, `HAVE_ARS12, `HAVE_ED12, `HAVE_CBUF12) u12(
wb_dma_ch_rf_dummy #(12, `WDMA_HAVE_ARS12, `WDMA_HAVE_ED12, `WDMA_HAVE_CBUF12) u12(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer12       ),
                .pointer(       pointer12       ),
                .pointer_s(     pointer12_s     ),
                .pointer_s(     pointer12_s     ),
                .ch_csr(        ch12_csr                ),
                .ch_csr(        ch12_csr                ),
Line 1668... Line 1673...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH13
`ifdef WDMA_HAVE_CH13
wb_dma_ch_rf #(13, `HAVE_ARS13, `HAVE_ED13, `HAVE_CBUF13) u13(
wb_dma_ch_rf #(13, `WDMA_HAVE_ARS13, `WDMA_HAVE_ED13, `WDMA_HAVE_CBUF13) u13(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer13       ),
                .pointer(       pointer13       ),
                .pointer_s(     pointer13_s     ),
                .pointer_s(     pointer13_s     ),
                .ch_csr(        ch13_csr                ),
                .ch_csr(        ch13_csr                ),
Line 1707... Line 1712...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[13]    ),
                .dma_rest(      dma_rest[13]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(13, `HAVE_ARS13, `HAVE_ED13, `HAVE_CBUF13) u13(
wb_dma_ch_rf_dummy #(13, `WDMA_HAVE_ARS13, `WDMA_HAVE_ED13, `WDMA_HAVE_CBUF13) u13(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer13       ),
                .pointer(       pointer13       ),
                .pointer_s(     pointer13_s     ),
                .pointer_s(     pointer13_s     ),
                .ch_csr(        ch13_csr                ),
                .ch_csr(        ch13_csr                ),
Line 1747... Line 1752...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH14
`ifdef WDMA_HAVE_CH14
wb_dma_ch_rf #(14, `HAVE_ARS14, `HAVE_ED14, `HAVE_CBUF14) u14(
wb_dma_ch_rf #(14, `WDMA_HAVE_ARS14, `WDMA_HAVE_ED14, `WDMA_HAVE_CBUF14) u14(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer14       ),
                .pointer(       pointer14       ),
                .pointer_s(     pointer14_s     ),
                .pointer_s(     pointer14_s     ),
                .ch_csr(        ch14_csr                ),
                .ch_csr(        ch14_csr                ),
Line 1786... Line 1791...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[14]    ),
                .dma_rest(      dma_rest[14]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(14, `HAVE_ARS14, `HAVE_ED14, `HAVE_CBUF14) u14(
wb_dma_ch_rf_dummy #(14, `WDMA_HAVE_ARS14, `WDMA_HAVE_ED14, `WDMA_HAVE_CBUF14) u14(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer14       ),
                .pointer(       pointer14       ),
                .pointer_s(     pointer14_s     ),
                .pointer_s(     pointer14_s     ),
                .ch_csr(        ch14_csr                ),
                .ch_csr(        ch14_csr                ),
Line 1826... Line 1831...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH15
`ifdef WDMA_HAVE_CH15
wb_dma_ch_rf #(15, `HAVE_ARS15, `HAVE_ED15, `HAVE_CBUF15) u15(
wb_dma_ch_rf #(15, `WDMA_HAVE_ARS15, `WDMA_HAVE_ED15, `WDMA_HAVE_CBUF15) u15(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer15       ),
                .pointer(       pointer15       ),
                .pointer_s(     pointer15_s     ),
                .pointer_s(     pointer15_s     ),
                .ch_csr(        ch15_csr                ),
                .ch_csr(        ch15_csr                ),
Line 1865... Line 1870...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[15]    ),
                .dma_rest(      dma_rest[15]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(15, `HAVE_ARS15, `HAVE_ED15, `HAVE_CBUF15) u15(
wb_dma_ch_rf_dummy #(15, `WDMA_HAVE_ARS15, `WDMA_HAVE_ED15, `WDMA_HAVE_CBUF15) u15(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer15       ),
                .pointer(       pointer15       ),
                .pointer_s(     pointer15_s     ),
                .pointer_s(     pointer15_s     ),
                .ch_csr(        ch15_csr                ),
                .ch_csr(        ch15_csr                ),
Line 1905... Line 1910...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH16
`ifdef WDMA_HAVE_CH16
wb_dma_ch_rf #(16, `HAVE_ARS16, `HAVE_ED16, `HAVE_CBUF16) u16(
wb_dma_ch_rf #(16, `WDMA_HAVE_ARS16, `WDMA_HAVE_ED16, `WDMA_HAVE_CBUF16) u16(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer16       ),
                .pointer(       pointer16       ),
                .pointer_s(     pointer16_s     ),
                .pointer_s(     pointer16_s     ),
                .ch_csr(        ch16_csr                ),
                .ch_csr(        ch16_csr                ),
Line 1944... Line 1949...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[16]    ),
                .dma_rest(      dma_rest[16]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(16, `HAVE_ARS16, `HAVE_ED16, `HAVE_CBUF16) u16(
wb_dma_ch_rf_dummy #(16, `WDMA_HAVE_ARS16, `WDMA_HAVE_ED16, `WDMA_HAVE_CBUF16) u16(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer16       ),
                .pointer(       pointer16       ),
                .pointer_s(     pointer16_s     ),
                .pointer_s(     pointer16_s     ),
                .ch_csr(        ch16_csr                ),
                .ch_csr(        ch16_csr                ),
Line 1984... Line 1989...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH17
`ifdef WDMA_HAVE_CH17
wb_dma_ch_rf #(17, `HAVE_ARS17, `HAVE_ED17, `HAVE_CBUF17) u17(
wb_dma_ch_rf #(17, `WDMA_HAVE_ARS17, `WDMA_HAVE_ED17, `WDMA_HAVE_CBUF17) u17(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer17       ),
                .pointer(       pointer17       ),
                .pointer_s(     pointer17_s     ),
                .pointer_s(     pointer17_s     ),
                .ch_csr(        ch17_csr                ),
                .ch_csr(        ch17_csr                ),
Line 2023... Line 2028...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[17]    ),
                .dma_rest(      dma_rest[17]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(17, `HAVE_ARS17, `HAVE_ED17, `HAVE_CBUF17) u17(
wb_dma_ch_rf_dummy #(17, `WDMA_HAVE_ARS17, `WDMA_HAVE_ED17, `WDMA_HAVE_CBUF17) u17(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer17       ),
                .pointer(       pointer17       ),
                .pointer_s(     pointer17_s     ),
                .pointer_s(     pointer17_s     ),
                .ch_csr(        ch17_csr                ),
                .ch_csr(        ch17_csr                ),
Line 2063... Line 2068...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH18
`ifdef WDMA_HAVE_CH18
wb_dma_ch_rf #(18, `HAVE_ARS18, `HAVE_ED18, `HAVE_CBUF18) u18(
wb_dma_ch_rf #(18, `WDMA_HAVE_ARS18, `WDMA_HAVE_ED18, `WDMA_HAVE_CBUF18) u18(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer18       ),
                .pointer(       pointer18       ),
                .pointer_s(     pointer18_s     ),
                .pointer_s(     pointer18_s     ),
                .ch_csr(        ch18_csr                ),
                .ch_csr(        ch18_csr                ),
Line 2102... Line 2107...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[18]    ),
                .dma_rest(      dma_rest[18]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(18, `HAVE_ARS18, `HAVE_ED18, `HAVE_CBUF18) u18(
wb_dma_ch_rf_dummy #(18, `WDMA_HAVE_ARS18, `WDMA_HAVE_ED18, `WDMA_HAVE_CBUF18) u18(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer18       ),
                .pointer(       pointer18       ),
                .pointer_s(     pointer18_s     ),
                .pointer_s(     pointer18_s     ),
                .ch_csr(        ch18_csr                ),
                .ch_csr(        ch18_csr                ),
Line 2142... Line 2147...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH19
`ifdef WDMA_HAVE_CH19
wb_dma_ch_rf #(19, `HAVE_ARS19, `HAVE_ED19, `HAVE_CBUF19) u19(
wb_dma_ch_rf #(19, `WDMA_HAVE_ARS19, `WDMA_HAVE_ED19, `WDMA_HAVE_CBUF19) u19(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer19       ),
                .pointer(       pointer19       ),
                .pointer_s(     pointer19_s     ),
                .pointer_s(     pointer19_s     ),
                .ch_csr(        ch19_csr                ),
                .ch_csr(        ch19_csr                ),
Line 2181... Line 2186...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[19]    ),
                .dma_rest(      dma_rest[19]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(19, `HAVE_ARS19, `HAVE_ED19, `HAVE_CBUF19) u19(
wb_dma_ch_rf_dummy #(19, `WDMA_HAVE_ARS19, `WDMA_HAVE_ED19, `WDMA_HAVE_CBUF19) u19(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer19       ),
                .pointer(       pointer19       ),
                .pointer_s(     pointer19_s     ),
                .pointer_s(     pointer19_s     ),
                .ch_csr(        ch19_csr                ),
                .ch_csr(        ch19_csr                ),
Line 2221... Line 2226...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH20
`ifdef WDMA_HAVE_CH20
wb_dma_ch_rf #(20, `HAVE_ARS20, `HAVE_ED20, `HAVE_CBUF20) u20(
wb_dma_ch_rf #(20, `WDMA_HAVE_ARS20, `WDMA_HAVE_ED20, `WDMA_HAVE_CBUF20) u20(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer20       ),
                .pointer(       pointer20       ),
                .pointer_s(     pointer20_s     ),
                .pointer_s(     pointer20_s     ),
                .ch_csr(        ch20_csr                ),
                .ch_csr(        ch20_csr                ),
Line 2260... Line 2265...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[20]    ),
                .dma_rest(      dma_rest[20]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(20, `HAVE_ARS20, `HAVE_ED20, `HAVE_CBUF20) u20(
wb_dma_ch_rf_dummy #(20, `WDMA_HAVE_ARS20, `WDMA_HAVE_ED20, `WDMA_HAVE_CBUF20) u20(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer20       ),
                .pointer(       pointer20       ),
                .pointer_s(     pointer20_s     ),
                .pointer_s(     pointer20_s     ),
                .ch_csr(        ch20_csr                ),
                .ch_csr(        ch20_csr                ),
Line 2300... Line 2305...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH21
`ifdef WDMA_HAVE_CH21
wb_dma_ch_rf #(21, `HAVE_ARS21, `HAVE_ED21, `HAVE_CBUF21) u21(
wb_dma_ch_rf #(21, `WDMA_HAVE_ARS21, `WDMA_HAVE_ED21, `WDMA_HAVE_CBUF21) u21(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer21       ),
                .pointer(       pointer21       ),
                .pointer_s(     pointer21_s     ),
                .pointer_s(     pointer21_s     ),
                .ch_csr(        ch21_csr                ),
                .ch_csr(        ch21_csr                ),
Line 2339... Line 2344...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[21]    ),
                .dma_rest(      dma_rest[21]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(21, `HAVE_ARS21, `HAVE_ED21, `HAVE_CBUF21) u21(
wb_dma_ch_rf_dummy #(21, `WDMA_HAVE_ARS21, `WDMA_HAVE_ED21, `WDMA_HAVE_CBUF21) u21(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer21       ),
                .pointer(       pointer21       ),
                .pointer_s(     pointer21_s     ),
                .pointer_s(     pointer21_s     ),
                .ch_csr(        ch21_csr                ),
                .ch_csr(        ch21_csr                ),
Line 2379... Line 2384...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH22
`ifdef WDMA_HAVE_CH22
wb_dma_ch_rf #(22, `HAVE_ARS22, `HAVE_ED22, `HAVE_CBUF22) u22(
wb_dma_ch_rf #(22, `WDMA_HAVE_ARS22, `WDMA_HAVE_ED22, `WDMA_HAVE_CBUF22) u22(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer22       ),
                .pointer(       pointer22       ),
                .pointer_s(     pointer22_s     ),
                .pointer_s(     pointer22_s     ),
                .ch_csr(        ch22_csr                ),
                .ch_csr(        ch22_csr                ),
Line 2418... Line 2423...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[22]    ),
                .dma_rest(      dma_rest[22]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(22, `HAVE_ARS22, `HAVE_ED22, `HAVE_CBUF22) u22(
wb_dma_ch_rf_dummy #(22, `WDMA_HAVE_ARS22, `WDMA_HAVE_ED22, `WDMA_HAVE_CBUF22) u22(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer22       ),
                .pointer(       pointer22       ),
                .pointer_s(     pointer22_s     ),
                .pointer_s(     pointer22_s     ),
                .ch_csr(        ch22_csr                ),
                .ch_csr(        ch22_csr                ),
Line 2458... Line 2463...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH23
`ifdef WDMA_HAVE_CH23
wb_dma_ch_rf #(23, `HAVE_ARS23, `HAVE_ED23, `HAVE_CBUF23) u23(
wb_dma_ch_rf #(23, `WDMA_HAVE_ARS23, `WDMA_HAVE_ED23, `WDMA_HAVE_CBUF23) u23(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer23       ),
                .pointer(       pointer23       ),
                .pointer_s(     pointer23_s     ),
                .pointer_s(     pointer23_s     ),
                .ch_csr(        ch23_csr                ),
                .ch_csr(        ch23_csr                ),
Line 2497... Line 2502...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[23]    ),
                .dma_rest(      dma_rest[23]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(23, `HAVE_ARS23, `HAVE_ED23, `HAVE_CBUF23) u23(
wb_dma_ch_rf_dummy #(23, `WDMA_HAVE_ARS23, `WDMA_HAVE_ED23, `WDMA_HAVE_CBUF23) u23(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer23       ),
                .pointer(       pointer23       ),
                .pointer_s(     pointer23_s     ),
                .pointer_s(     pointer23_s     ),
                .ch_csr(        ch23_csr                ),
                .ch_csr(        ch23_csr                ),
Line 2537... Line 2542...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH24
`ifdef WDMA_HAVE_CH24
wb_dma_ch_rf #(24, `HAVE_ARS24, `HAVE_ED24, `HAVE_CBUF24) u24(
wb_dma_ch_rf #(24, `WDMA_HAVE_ARS24, `WDMA_HAVE_ED24, `WDMA_HAVE_CBUF24) u24(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer24       ),
                .pointer(       pointer24       ),
                .pointer_s(     pointer24_s     ),
                .pointer_s(     pointer24_s     ),
                .ch_csr(        ch24_csr                ),
                .ch_csr(        ch24_csr                ),
Line 2576... Line 2581...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[24]    ),
                .dma_rest(      dma_rest[24]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(24, `HAVE_ARS24, `HAVE_ED24, `HAVE_CBUF24) u24(
wb_dma_ch_rf_dummy #(24, `WDMA_HAVE_ARS24, `WDMA_HAVE_ED24, `WDMA_HAVE_CBUF24) u24(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer24       ),
                .pointer(       pointer24       ),
                .pointer_s(     pointer24_s     ),
                .pointer_s(     pointer24_s     ),
                .ch_csr(        ch24_csr                ),
                .ch_csr(        ch24_csr                ),
Line 2616... Line 2621...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH25
`ifdef WDMA_HAVE_CH25
wb_dma_ch_rf #(25, `HAVE_ARS25, `HAVE_ED25, `HAVE_CBUF25) u25(
wb_dma_ch_rf #(25, `WDMA_HAVE_ARS25, `WDMA_HAVE_ED25, `WDMA_HAVE_CBUF25) u25(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer25       ),
                .pointer(       pointer25       ),
                .pointer_s(     pointer25_s     ),
                .pointer_s(     pointer25_s     ),
                .ch_csr(        ch25_csr                ),
                .ch_csr(        ch25_csr                ),
Line 2655... Line 2660...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[25]    ),
                .dma_rest(      dma_rest[25]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(25, `HAVE_ARS25, `HAVE_ED25, `HAVE_CBUF25) u25(
wb_dma_ch_rf_dummy #(25, `WDMA_HAVE_ARS25, `WDMA_HAVE_ED25, `WDMA_HAVE_CBUF25) u25(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer25       ),
                .pointer(       pointer25       ),
                .pointer_s(     pointer25_s     ),
                .pointer_s(     pointer25_s     ),
                .ch_csr(        ch25_csr                ),
                .ch_csr(        ch25_csr                ),
Line 2695... Line 2700...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH26
`ifdef WDMA_HAVE_CH26
wb_dma_ch_rf #(26, `HAVE_ARS26, `HAVE_ED26, `HAVE_CBUF26) u26(
wb_dma_ch_rf #(26, `WDMA_HAVE_ARS26, `WDMA_HAVE_ED26, `WDMA_HAVE_CBUF26) u26(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer26       ),
                .pointer(       pointer26       ),
                .pointer_s(     pointer26_s     ),
                .pointer_s(     pointer26_s     ),
                .ch_csr(        ch26_csr                ),
                .ch_csr(        ch26_csr                ),
Line 2734... Line 2739...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[26]    ),
                .dma_rest(      dma_rest[26]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(26, `HAVE_ARS26, `HAVE_ED26, `HAVE_CBUF26) u26(
wb_dma_ch_rf_dummy #(26, `WDMA_HAVE_ARS26, `WDMA_HAVE_ED26, `WDMA_HAVE_CBUF26) u26(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer26       ),
                .pointer(       pointer26       ),
                .pointer_s(     pointer26_s     ),
                .pointer_s(     pointer26_s     ),
                .ch_csr(        ch26_csr                ),
                .ch_csr(        ch26_csr                ),
Line 2774... Line 2779...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH27
`ifdef WDMA_HAVE_CH27
wb_dma_ch_rf #(27, `HAVE_ARS27, `HAVE_ED27, `HAVE_CBUF27) u27(
wb_dma_ch_rf #(27, `WDMA_HAVE_ARS27, `WDMA_HAVE_ED27, `WDMA_HAVE_CBUF27) u27(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer27       ),
                .pointer(       pointer27       ),
                .pointer_s(     pointer27_s     ),
                .pointer_s(     pointer27_s     ),
                .ch_csr(        ch27_csr                ),
                .ch_csr(        ch27_csr                ),
Line 2813... Line 2818...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[27]    ),
                .dma_rest(      dma_rest[27]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(27, `HAVE_ARS27, `HAVE_ED27, `HAVE_CBUF27) u27(
wb_dma_ch_rf_dummy #(27, `WDMA_HAVE_ARS27, `WDMA_HAVE_ED27, `WDMA_HAVE_CBUF27) u27(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer27       ),
                .pointer(       pointer27       ),
                .pointer_s(     pointer27_s     ),
                .pointer_s(     pointer27_s     ),
                .ch_csr(        ch27_csr                ),
                .ch_csr(        ch27_csr                ),
Line 2853... Line 2858...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH28
`ifdef WDMA_HAVE_CH28
wb_dma_ch_rf #(28, `HAVE_ARS28, `HAVE_ED28, `HAVE_CBUF28) u28(
wb_dma_ch_rf #(28, `WDMA_HAVE_ARS28, `WDMA_HAVE_ED28, `WDMA_HAVE_CBUF28) u28(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer28       ),
                .pointer(       pointer28       ),
                .pointer_s(     pointer28_s     ),
                .pointer_s(     pointer28_s     ),
                .ch_csr(        ch28_csr                ),
                .ch_csr(        ch28_csr                ),
Line 2892... Line 2897...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[28]    ),
                .dma_rest(      dma_rest[28]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(28, `HAVE_ARS28, `HAVE_ED28, `HAVE_CBUF28) u28(
wb_dma_ch_rf_dummy #(28, `WDMA_HAVE_ARS28, `WDMA_HAVE_ED28, `WDMA_HAVE_CBUF28) u28(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer28       ),
                .pointer(       pointer28       ),
                .pointer_s(     pointer28_s     ),
                .pointer_s(     pointer28_s     ),
                .ch_csr(        ch28_csr                ),
                .ch_csr(        ch28_csr                ),
Line 2932... Line 2937...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH29
`ifdef WDMA_HAVE_CH29
wb_dma_ch_rf #(29, `HAVE_ARS29, `HAVE_ED29, `HAVE_CBUF29) u29(
wb_dma_ch_rf #(29, `WDMA_HAVE_ARS29, `WDMA_HAVE_ED29, `WDMA_HAVE_CBUF29) u29(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer29       ),
                .pointer(       pointer29       ),
                .pointer_s(     pointer29_s     ),
                .pointer_s(     pointer29_s     ),
                .ch_csr(        ch29_csr                ),
                .ch_csr(        ch29_csr                ),
Line 2971... Line 2976...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[29]    ),
                .dma_rest(      dma_rest[29]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(29, `HAVE_ARS29, `HAVE_ED29, `HAVE_CBUF29) u29(
wb_dma_ch_rf_dummy #(29, `WDMA_HAVE_ARS29, `WDMA_HAVE_ED29, `WDMA_HAVE_CBUF29) u29(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer29       ),
                .pointer(       pointer29       ),
                .pointer_s(     pointer29_s     ),
                .pointer_s(     pointer29_s     ),
                .ch_csr(        ch29_csr                ),
                .ch_csr(        ch29_csr                ),
Line 3011... Line 3016...
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`endif
`endif
 
 
 
 
`ifdef HAVE_CH30
`ifdef WDMA_HAVE_CH30
wb_dma_ch_rf #(30, `HAVE_ARS30, `HAVE_ED30, `HAVE_CBUF30) u30(
wb_dma_ch_rf #(30, `WDMA_HAVE_ARS30, `WDMA_HAVE_ED30, `WDMA_HAVE_CBUF30) u30(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer30       ),
                .pointer(       pointer30       ),
                .pointer_s(     pointer30_s     ),
                .pointer_s(     pointer30_s     ),
                .ch_csr(        ch30_csr                ),
                .ch_csr(        ch30_csr                ),
Line 3050... Line 3055...
                .de_fetch_descr(de_fetch_descr  ),
                .de_fetch_descr(de_fetch_descr  ),
                .dma_rest(      dma_rest[30]    ),
                .dma_rest(      dma_rest[30]    ),
                .ptr_set(       ptr_set         )
                .ptr_set(       ptr_set         )
                );
                );
`else
`else
wb_dma_ch_rf_dummy #(30, `HAVE_ARS30, `HAVE_ED30, `HAVE_CBUF30) u30(
wb_dma_ch_rf_dummy #(30, `WDMA_HAVE_ARS30, `WDMA_HAVE_ED30, `WDMA_HAVE_CBUF30) u30(
                .clk(           clk             ),
                .clk(           clk             ),
                .rst(           rst             ),
                .rst(           rst             ),
                .pointer(       pointer30       ),
                .pointer(       pointer30       ),
                .pointer_s(     pointer30_s     ),
                .pointer_s(     pointer30_s     ),
                .ch_csr(        ch30_csr                ),
                .ch_csr(        ch30_csr                ),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.