OpenCores
URL https://opencores.org/ocsvn/wb_fifo/wb_fifo/trunk

Subversion Repositories wb_fifo

[/] [wb_fifo/] [trunk/] [model/] [vhdl/] [packages/] [pkg-fifo-tlm.vhdl] - Diff between revs 3 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 4
Line 48... Line 48...
 
 
        /* FIFO Transactor block interface. */
        /* FIFO Transactor block interface. */
        type t_fifoTransactor is record
        type t_fifoTransactor is record
                writeRequest,readRequest:t_bfm;
                writeRequest,readRequest:t_bfm;
                writeResponse,readResponse:t_bfm;
                writeResponse,readResponse:t_bfm;
                pctFilled:unsigned(7 downto 0);
 
                nearFull,full:boolean;
 
                nearEmpty,empty:boolean;
 
                overflow,underflow:boolean;
 
        end record t_fifoTransactor;
        end record t_fifoTransactor;
 
 
        /* Use separate FIFO structure when request and response are
        /* Use separate record for FIFO signalling.
                made into separate structures (for different directions).
                This will make it easier when we need to split up the request and response
 
                structures into separate records (for different directions).
        */
        */
        type t_fifo is record
        type t_fifo is record
                pctFilled:unsigned(7 downto 0);
                pctFilled:unsigned(7 downto 0);
                nearFull,full:boolean;
                nearFull,full:boolean;
                nearEmpty,empty:boolean;
                nearEmpty,empty:boolean;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.