URL
https://opencores.org/ocsvn/wb_fifo/wb_fifo/trunk
Show entire file |
Details |
Blame |
View Log
Rev 4 |
Rev 7 |
Line 36... |
Line 36... |
/* FIXME VHDL-2008 instantiated package. Unsupported by VCS-MX, Quartus, and Vivado. QuestaSim/ModelSim supports well. */
|
/* FIXME VHDL-2008 instantiated package. Unsupported by VCS-MX, Quartus, and Vivado. QuestaSim/ModelSim supports well. */
|
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
|
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
|
--use std.textio.all;
|
--use std.textio.all;
|
library tauhop; --use tauhop.transactor.all;
|
library tauhop; --use tauhop.transactor.all;
|
|
|
/* Record I/O data structures for AXI interface transactor (block interface). */
|
|
package fifoTLM is
|
package fifoTLM is
|
generic(
|
generic(
|
package i_transactor is new tauhop.tlm generic map(<>)
|
package i_transactor is new tauhop.tlm generic map(<>)
|
);
|
);
|
/* Makes i_transactor.t_addr, i_transactor.t_msg, and i_transactor.t_cnt visible. */
|
/* Makes i_transactor.t_addr, i_transactor.t_msg, and i_transactor.t_cnt visible. */
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.