OpenCores
URL https://opencores.org/ocsvn/wb_fifo/wb_fifo/trunk

Subversion Repositories wb_fifo

[/] [wb_fifo/] [trunk/] [workspaces/] [simulate.sh] - Diff between revs 8 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 9
Line 72... Line 72...
isNotExists_vhdlan=`hash vhdlan 2>&1 | grep >&1 "not found"` ;
isNotExists_vhdlan=`hash vhdlan 2>&1 | grep >&1 "not found"` ;
if [ `echo ${#isNotExists_vhdlan}` -gt 0 ]
if [ `echo ${#isNotExists_vhdlan}` -gt 0 ]
then echo "Warning: vhdlan not installed. Skipping compilation for VCS.";
then echo "Warning: vhdlan not installed. Skipping compilation for VCS.";
else
else
        echo "Starting VCS compile..."
        echo "Starting VCS compile..."
        vhdlan $VCS_BUILD_OPTS -work tauhop 2>&1 \
 
                $VHDL_SRC_PATH/packages/tauhop/pkg-tlm.vhdl \
 
                $VHDL_SRC_PATH/packages/tauhop/pkg-dsp.vhdl \
 
                | tee -ai $VCS_SIM_PATH/simulate.log;
 
 
 
        vhdlan $VCS_BUILD_OPTS -work work 2>&1 \
 
                $VHDL_SRC_PATH/flight-controller.vhdl \
 
                | tee -ai $VCS_SIM_PATH/simulate.log;
 
 
 
        errorStr=`grep "Error-\[" $1/simulate.log`;
        cd $VCS_SIM_PATH;
 
 
 
        eval 2>&1 "vhdlan $VCS_BUILD_OPTS -work osvvm \
 
                $(cat ../osvvm.f)" \
 
                | tee -ai ./simulate.log;
 
 
 
        #vcom -2008 -work tauhop $VHDL_SRC_PATH/packages/pkg-types.vhdl \
 
        eval 2>&1 "vhdlan $VCS_BUILD_OPTS -work tauhop \
 
                $(cat ../tauhop.f)" \
 
                | tee -ai ./simulate.log;
 
                #../../model/vhdl/packages/pkg-resolved.vhdl \
 
 
 
        eval 2>&1 "vhdlan $VCS_BUILD_OPTS -work work \
 
                $(cat ../work.f)" \
 
                | tee -ai ./simulate.log;
 
 
 
        errorStr=`grep "Error-\[" ./simulate.log`;
        if [ `echo ${#errorStr}` -gt 0 ]
        if [ `echo ${#errorStr}` -gt 0 ]
        then echo "Errors exist. Refer simulate.log for more details. Exiting."; exit;
        then echo "Errors exist. Refer simulate.log for more details. Exiting."; exit;
        else
        else
                echo $(date "+[%Y-%m-%d %H:%M:%S]: Running simulation...");
                echo $(date "+[%Y-%m-%d %H:%M:%S]: Running simulation...");
 
 
                #vcs -R -debug_all work.system 2>&1 \
                #vcs -R -debug_all work.system 2>&1 \
                vcs -debug_all work.system 2>&1 \
                vcs -debug_all work.system 2>&1 \
                        | tee -ai $1/simulate.log;
                        | tee -ai ./simulate.log;
 
 
                $1/simv -gui -dve_opt -session=./view-04212015.tcl -dve_opt -cmd=run 2>&1 \
                ./simv -gui -dve_opt -session=./view-session.tcl -dve_opt -cmd=run 2>&1 \
                        | tee -ai $1/simulate.log;
                        | tee -ai ./simulate.log;
 
 
                echo $(date "+[%Y-%m-%d %H:%M:%S]: simulation loaded.");
                echo $(date "+[%Y-%m-%d %H:%M:%S]: simulation loaded.");
        fi
        fi
fi
fi
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.