OpenCores
URL https://opencores.org/ocsvn/wb_lpc/wb_lpc/trunk

Subversion Repositories wb_lpc

[/] [wb_lpc/] [trunk/] [rtl/] [verilog/] [wb_regfile.v] - Diff between revs 6 and 17

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 17
Line 1... Line 1...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
////  $Id: wb_regfile.v,v 1.2 2008-03-05 05:50:59 hharte Exp $    ////
////  $Id: wb_regfile.v,v 1.3 2008-07-26 19:15:32 hharte Exp $    ////
////  wb_regfile.v - Small Wishbone register file for testing     ////
////  wb_regfile.v - Small Wishbone register file for testing     ////
////                                                              ////
////                                                              ////
////  This file is part of the Wishbone LPC Bridge project        ////
////  This file is part of the Wishbone LPC Bridge project        ////
////  http://www.opencores.org/projects/wb_lpc/                   ////
////  http://www.opencores.org/projects/wb_lpc/                   ////
////                                                              ////
////                                                              ////
Line 35... Line 35...
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
 
 
module wb_regfile (clk_i, nrst_i, wb_adr_i, wb_dat_o, wb_dat_i, wb_sel_i, wb_we_i,
module wb_regfile (clk_i, nrst_i, wb_adr_i, wb_dat_o, wb_dat_i, wb_sel_i, wb_we_i,
                   wb_stb_i, wb_cyc_i, wb_ack_o, datareg0, datareg1);
                   wb_stb_i, wb_cyc_i, wb_ack_o, wb_err_o, ws_i, datareg0, datareg1);
 
 
    input          clk_i;
    input          clk_i;
    input          nrst_i;
    input          nrst_i;
    input    [2:0] wb_adr_i;
    input    [3:0] wb_adr_i;
    output reg [31:0] wb_dat_o;
    output reg [31:0] wb_dat_o;
    input   [31:0] wb_dat_i;
    input   [31:0] wb_dat_i;
    input    [3:0] wb_sel_i;
    input    [3:0] wb_sel_i;
    input          wb_we_i;
    input          wb_we_i;
    input          wb_stb_i;
    input          wb_stb_i;
    input          wb_cyc_i;
    input          wb_cyc_i;
    output reg     wb_ack_o;
    output reg     wb_ack_o;
 
    output         wb_err_o;
 
    input    [7:0] ws_i;
    output  [31:0] datareg0;
    output  [31:0] datareg0;
    output  [31:0] datareg1;
    output  [31:0] datareg1;
 
    reg      [7:0] waitstate;
 
 
    //
    //
    // generate wishbone register bank writes
    // generate wishbone register bank writes
    wire wb_acc = wb_cyc_i & wb_stb_i;    // WISHBONE access
    wire wb_acc = wb_cyc_i & wb_stb_i;    // WISHBONE access
    wire wb_wr  = wb_acc & wb_we_i;       // WISHBONE write access
    wire wb_wr  = wb_acc & wb_we_i;       // WISHBONE write access
Line 76... Line 79...
                datareg0_3 <= 8'h03;
                datareg0_3 <= 8'h03;
                datareg1_0 <= 8'h10;
                datareg1_0 <= 8'h10;
                datareg1_1 <= 8'h11;
                datareg1_1 <= 8'h11;
                datareg1_2 <= 8'h12;
                datareg1_2 <= 8'h12;
                datareg1_3 <= 8'h13;
                datareg1_3 <= 8'h13;
 
                wb_ack_o <= 1'b0;
 
                waitstate <= 4'b0;
 
                                         wb_dat_o <= 32'h00000000;
            end
            end
        else if(wb_wr)          // wishbone write cycle
        else if(wb_wr)          // wishbone write cycle
            case (wb_sel_i)
            case (wb_sel_i)
                4'b0000:
                4'b0000:
                    case (wb_adr_i)         // synopsys full_case parallel_case
                    case (wb_adr_i)         // synopsys full_case parallel_case
                        3'b000: datareg0_0 <= wb_dat_i[7:0];
                        4'b0000: datareg0_0 <= wb_dat_i[7:0];
                        3'b001: datareg0_1 <= wb_dat_i[7:0];
                        4'b0001: datareg0_1 <= wb_dat_i[7:0];
                        3'b010: datareg0_2 <= wb_dat_i[7:0];
                        4'b0010: datareg0_2 <= wb_dat_i[7:0];
                        3'b011: datareg0_3 <= wb_dat_i[7:0];
                        4'b0011: datareg0_3 <= wb_dat_i[7:0];
                        3'b100: datareg1_0 <= wb_dat_i[7:0];
                        4'b0100: datareg1_0 <= wb_dat_i[7:0];
                        3'b101: datareg1_1 <= wb_dat_i[7:0];
                        4'b0101: datareg1_1 <= wb_dat_i[7:0];
                        3'b110: datareg1_2 <= wb_dat_i[7:0];
                        4'b0110: datareg1_2 <= wb_dat_i[7:0];
                        3'b111: datareg1_3 <= wb_dat_i[7:0];
                        4'b0111: datareg1_3 <= wb_dat_i[7:0];
                    endcase
                    endcase
                4'b0001:
                4'b0001:
                    case (wb_adr_i)         // synopsys full_case parallel_case
                    case (wb_adr_i)         // synopsys full_case parallel_case
                        3'b000: datareg0_0 <= wb_dat_i[7:0];
                        4'b0000: datareg0_0 <= wb_dat_i[7:0];
                        3'b001: datareg0_1 <= wb_dat_i[7:0];
                        4'b0001: datareg0_1 <= wb_dat_i[7:0];
                        3'b010: datareg0_2 <= wb_dat_i[7:0];
                        4'b0010: datareg0_2 <= wb_dat_i[7:0];
                        3'b011: datareg0_3 <= wb_dat_i[7:0];
                        4'b0011: datareg0_3 <= wb_dat_i[7:0];
                        3'b100: datareg1_0 <= wb_dat_i[7:0];
                        4'b0100: datareg1_0 <= wb_dat_i[7:0];
                        3'b101: datareg1_1 <= wb_dat_i[7:0];
                        4'b0101: datareg1_1 <= wb_dat_i[7:0];
                        3'b110: datareg1_2 <= wb_dat_i[7:0];
                        4'b0110: datareg1_2 <= wb_dat_i[7:0];
                        3'b111: datareg1_3 <= wb_dat_i[7:0];
                        4'b0111: datareg1_3 <= wb_dat_i[7:0];
                    endcase
                    endcase
                4'b0011:
                4'b0011:
                    {datareg0_1, datareg0_0} <= wb_dat_i[15:0];
                    {datareg0_1, datareg0_0} <= wb_dat_i[15:0];
//                  case (wb_adr_i)         // synopsys full_case parallel_case
//                  case (wb_adr_i)         // synopsys full_case parallel_case
//                      3'b000: {datareg0_1, datareg0_0} <= wb_dat_i[15:0];
//                      3'b000: {datareg0_1, datareg0_0} <= wb_dat_i[15:0];
Line 118... Line 124...
    // generate dat_o
    // generate dat_o
    always @(posedge clk_i)
    always @(posedge clk_i)
        case (wb_sel_i)
        case (wb_sel_i)
            4'b0000:
            4'b0000:
                case (wb_adr_i)     // synopsys full_case parallel_case
                case (wb_adr_i)     // synopsys full_case parallel_case
                    3'b000: wb_dat_o[7:0] <= datareg0_0;
                    4'b0000: wb_dat_o[7:0] <= datareg0_0;
                    3'b001: wb_dat_o[7:0] <= datareg0_1;
                    4'b0001: wb_dat_o[7:0] <= datareg0_1;
                    3'b010: wb_dat_o[7:0] <= datareg0_2;
                    4'b0010: wb_dat_o[7:0] <= datareg0_2;
                    3'b011: wb_dat_o[7:0] <= datareg0_3;
                    4'b0011: wb_dat_o[7:0] <= datareg0_3;
                    3'b100: wb_dat_o[7:0] <= datareg1_0;
                    4'b0100: wb_dat_o[7:0] <= datareg1_0;
                    3'b101: wb_dat_o[7:0] <= datareg1_1;
                    4'b0101: wb_dat_o[7:0] <= datareg1_1;
                    3'b110: wb_dat_o[7:0] <= datareg1_2;
                    4'b0110: wb_dat_o[7:0] <= datareg1_2;
                    3'b111: wb_dat_o[7:0] <= datareg1_3;
                    4'b0111: wb_dat_o[7:0] <= datareg1_3;
                endcase
                endcase
            4'b0001:
            4'b0001:
                case (wb_adr_i)     // synopsys full_case parallel_case
                case (wb_adr_i)     // synopsys full_case parallel_case
                    3'b000: wb_dat_o[7:0] <= datareg0_0;
                    4'b0000: wb_dat_o[7:0] <= datareg0_0;
                    3'b001: wb_dat_o[7:0] <= datareg0_1;
                    4'b0001: wb_dat_o[7:0] <= datareg0_1;
                    3'b010: wb_dat_o[7:0] <= datareg0_2;
                    4'b0010: wb_dat_o[7:0] <= datareg0_2;
                    3'b011: wb_dat_o[7:0] <= datareg0_3;
                    4'b0011: wb_dat_o[7:0] <= datareg0_3;
                    3'b100: wb_dat_o[7:0] <= datareg1_0;
                    4'b0100: wb_dat_o[7:0] <= datareg1_0;
                    3'b101: wb_dat_o[7:0] <= datareg1_1;
                    4'b0101: wb_dat_o[7:0] <= datareg1_1;
                    3'b110: wb_dat_o[7:0] <= datareg1_2;
                    4'b0110: wb_dat_o[7:0] <= datareg1_2;
                    3'b111: wb_dat_o[7:0] <= datareg1_3;
                    4'b0111: wb_dat_o[7:0] <= datareg1_3;
                endcase
                endcase
            4'b0011:
            4'b0011:
                    wb_dat_o[15:0] <= {datareg0_1, datareg0_0};
                    wb_dat_o[15:0] <= {datareg0_1, datareg0_0};
            4'b1111:
            4'b1111:
                    wb_dat_o[31:0] <= {datareg0_3, datareg0_2, datareg0_1, datareg0_0};
                    wb_dat_o[31:0] <= {datareg0_3, datareg0_2, datareg0_1, datareg0_0};
        endcase
        endcase
 
 
   // generate ack_o
   // generate ack_o
    always @(posedge clk_i)
    always @(posedge clk_i or negedge nrst_i)
        wb_ack_o <= #1 wb_acc & !wb_ack_o;
        if (nrst_i) begin            // not in reset
 
            if (ws_i == 0) begin
 
                wb_ack_o <= wb_acc & !wb_ack_o;
 
                end else
 
            if((waitstate == 4'b0) && (ws_i != 0)) begin
 
                wb_ack_o <= 1'b0;
 
                if(wb_acc) begin
 
                    waitstate <= waitstate + 1;
 
                end
 
            end
 
            else begin
 
                if(wb_acc) waitstate <= waitstate + 1;
 
                if(waitstate == ws_i) begin
 
                    if(wb_acc) wb_ack_o <= 1'b1;
 
                    waitstate <= 1'b0;
 
                end
 
            end
 
        end
 
 
    assign datareg0 = { datareg0_3, datareg0_2, datareg0_1, datareg0_0 };
    assign datareg0 = { datareg0_3, datareg0_2, datareg0_1, datareg0_0 };
    assign datareg1 = { datareg1_3, datareg1_2, datareg1_1, datareg1_0 };
    assign datareg1 = { datareg1_3, datareg1_2, datareg1_1, datareg1_0 };
 
 
 
    // Generate an error for registers 0x8-0xF
 
    assign wb_err_o = wb_ack_o & wb_adr_i[3];
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.