OpenCores
URL https://opencores.org/ocsvn/wb_z80/wb_z80/trunk

Subversion Repositories wb_z80

[/] [wb_z80/] [trunk/] [rtl/] [z80_testbed.v] - Diff between revs 22 and 25

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 25
Line 36... Line 36...
//// POSSIBILITY OF SUCH DAMAGE.                                                               ////
//// POSSIBILITY OF SUCH DAMAGE.                                                               ////
////                                                                                           ////
////                                                                                           ////
///////////////////////////////////////////////////////////////////////////////////////////////////
///////////////////////////////////////////////////////////////////////////////////////////////////
//  CVS Log
//  CVS Log
//
//
//  $Id: z80_testbed.v,v 1.1 2004-05-13 14:57:35 bporcella Exp $
//  $Id: z80_testbed.v,v 1.2 2004-05-18 22:31:21 bporcella Exp $
//
//
//  $Date: 2004-05-13 14:57:35 $
//  $Date: 2004-05-18 22:31:21 $
//  $Revision: 1.1 $
//  $Revision: 1.2 $
//  $Author: bporcella $
//  $Author: bporcella $
//  $Locker:  $
//  $Locker:  $
//  $State: Exp $
//  $State: Exp $
//
//
// Change History:
// Change History:
//      $Log: not supported by cvs2svn $
//      $Log: not supported by cvs2svn $
 
//      Revision 1.1  2004/05/13 14:57:35  bporcella
 
//      testbed files
 
//
//      Revision 1.1.1.1  2004/04/13 23:47:42  bporcella
//      Revision 1.1.1.1  2004/04/13 23:47:42  bporcella
//      import first files
//      import first files
//
//
//
//
//
//
Line 115... Line 118...
 
 
initial
initial
begin
begin
    clk = 0;
    clk = 0;
    //  timeout if u hang up  -- always a good idea.
    //  timeout if u hang up  -- always a good idea.
    #50000     $finish;
    #500000     $finish;
    $display("simulation timeout");
    $display("simulation timeout");
end
end
 
 
always   #5 clk = ~clk;
always   #5 clk = ~clk;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.