OpenCores
URL https://opencores.org/ocsvn/wb_z80/wb_z80/trunk

Subversion Repositories wb_z80

[/] [wb_z80/] [trunk/] [rtl/] [z80_testbed.v] - Diff between revs 27 and 32

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 27 Rev 32
Line 36... Line 36...
//// POSSIBILITY OF SUCH DAMAGE.                                                               ////
//// POSSIBILITY OF SUCH DAMAGE.                                                               ////
////                                                                                           ////
////                                                                                           ////
///////////////////////////////////////////////////////////////////////////////////////////////////
///////////////////////////////////////////////////////////////////////////////////////////////////
//  CVS Log
//  CVS Log
//
//
//  $Id: z80_testbed.v,v 1.4 2004-05-27 14:25:04 bporcella Exp $
//  $Id: z80_testbed.v,v 1.5 2007-10-02 20:25:12 bporcella Exp $
//
//
//  $Date: 2004-05-27 14:25:04 $
//  $Date: 2007-10-02 20:25:12 $
//  $Revision: 1.4 $
//  $Revision: 1.5 $
//  $Author: bporcella $
//  $Author: bporcella $
//  $Locker:  $
//  $Locker:  $
//  $State: Exp $
//  $State: Exp $
//
//
// Change History:
// Change History:
//      $Log: not supported by cvs2svn $
//      $Log: not supported by cvs2svn $
 
//      Revision 1.4  2004/05/27 14:25:04  bporcella
 
//      Instruction test (with interrupts) runs!!!
 
//
//      Revision 1.3  2004/05/21 02:51:25  bporcella
//      Revision 1.3  2004/05/21 02:51:25  bporcella
//      inst test  got to the worked macro
//      inst test  got to the worked macro
//
//
//      Revision 1.2  2004/05/18 22:31:21  bporcella
//      Revision 1.2  2004/05/18 22:31:21  bporcella
//      instruction test getting to final stages
//      instruction test getting to final stages
Line 76... Line 79...
wire     bist_err;
wire     bist_err;
 
 
 
 
 
 
//-------   CAUTION  TEST RESULTS DEPEND ON INITIAL CONDITIONS -------
//-------   CAUTION  TEST RESULTS DEPEND ON INITIAL CONDITIONS -------
//  bist will not pass if some of these imputs are not as specified.
//  bist will not pass if some of these inputs are not as specified.
//
//
z80_core_top i_z80_core_top(
z80_core_top i_z80_core_top(
    .wb_dat_o(),
    .wb_dat_o(),
    .wb_stb_o(),
    .wb_stb_o(),
    .wb_cyc_o(),
    .wb_cyc_o(),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.