OpenCores
URL https://opencores.org/ocsvn/wbddr3/wbddr3/trunk

Subversion Repositories wbddr3

[/] [wbddr3/] [trunk/] [bench/] [cpp/] [ddrsdramsim.h] - Diff between revs 14 and 16

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 16
Line 47... Line 47...
#define DDR_ZQS         6
#define DDR_ZQS         6
#define DDR_NOOP        7
#define DDR_NOOP        7
 
 
#define NBANKS          8
#define NBANKS          8
#define NTIMESLOTS      32
#define NTIMESLOTS      32
 
#define NWIDTH          2
 
 
class   BANKINFO {
class   BANKINFO {
public:
public:
        int             m_state;
        int             m_state;
        unsigned        m_row, m_wcounter, m_min_time_before_precharge,
        unsigned        m_row, m_wcounter, m_min_time_before_precharge,
Line 73... Line 74...
        BUSTIMESLOT     *m_bus;
        BUSTIMESLOT     *m_bus;
        int     cmd(int,int,int,int);
        int     cmd(int,int,int,int);
public:
public:
        BANKINFO        m_bank[8];
        BANKINFO        m_bank[8];
        DDRSDRAMSIM(int lglen);
        DDRSDRAMSIM(int lglen);
        unsigned operator()(int, int,
        unsigned apply(int, int,
                        int, int, int, int,
                        int, int, int, int,
                        int, int, int, int,
                        int, int, int, int,
                        int, int, int);
                        int, int, int);
        unsigned &operator[](unsigned addr) { return m_mem[addr]; };
        unsigned operator()(int reset_n, int cke,
 
                        int csn, int rasn, int casn, int wen,
 
                        int dqs, int dm, int odt, int busoe,
 
                        int addr, int ba, int data) {
 
                return apply(reset_n, cke, csn, rasn, casn, wen, dqs, dm, odt,
 
                        busoe, addr, ba, data);
 
        }
 
        unsigned &mem(unsigned addr) { return m_mem[addr]; };
 
        unsigned &operator[](unsigned addr) { return mem(addr); };
};
};
 
 
#endif
#endif
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.