OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_IIR/] [WB_SOS.vhd] - Diff between revs 5 and 11

Show entire file | Details | Blame | View Log

Rev 5 Rev 11
Line 98... Line 98...
signal h0_aux:std_logic_vector((NSECT*M*6)-1 downto 0);
signal h0_aux:std_logic_vector((NSECT*M*6)-1 downto 0);
signal gain_aux:std_logic_vector(M-1 downto 0);
signal gain_aux:std_logic_vector(M-1 downto 0);
signal iir_data_in, iir_data_out:std_logic_vector(Filter_Width+Bit_Growth-1 downto 0);
signal iir_data_in, iir_data_out:std_logic_vector(Filter_Width+Bit_Growth-1 downto 0);
signal en_out_aux:std_logic_vector(3 downto 0);
signal en_out_aux:std_logic_vector(3 downto 0);
signal Start_aux, WE_O_aux,enable_aux:std_logic;
signal Start_aux, WE_O_aux,enable_aux:std_logic;
signal sext:std_logic_vector(bit_growth-1 downto 0);
signal sext:std_logic_vector(WB_Width-Filter_Width-bit_growth-1 downto 0);
begin
begin
sext<=(others=>iir_data_out(Filter_Width-1));
sext<=(others=>iir_data_out(Filter_Width-1));
 
 
sos_1:SOS
sos_1:SOS
generic map(WordWidth=>Filter_Width,--width signal of in/out
generic map(WordWidth=>Filter_Width,--width signal of in/out

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.