OpenCores
URL https://opencores.org/ocsvn/wf3d/wf3d/trunk

Subversion Repositories wf3d

[/] [wf3d/] [trunk/] [implement/] [synth/] [zedboard/] [implement.tcl] - Diff between revs 5 and 8

Show entire file | Details | Blame | View Log

Rev 5 Rev 8
Line 8... Line 8...
set_property design_mode GateLvl [current_fileset]
set_property design_mode GateLvl [current_fileset]
set_property parent.project_path ${PROJ_DIR}/${PROJ_NAME}.xpr [current_project]
set_property parent.project_path ${PROJ_DIR}/${PROJ_NAME}.xpr [current_project]
set_property ip_repo_paths ${PROJ_DIR}/${PROJ_NAME}.cache/ip [current_project]
set_property ip_repo_paths ${PROJ_DIR}/${PROJ_NAME}.cache/ip [current_project]
set_property ip_output_repo ${PROJ_DIR}/${PROJ_NAME}.cache/ip [current_project]
set_property ip_output_repo ${PROJ_DIR}/${PROJ_NAME}.cache/ip [current_project]
add_files -quiet ${TOP_NAME}.dcp
add_files -quiet ${TOP_NAME}.dcp
 
 
 
# version check
 
if {[expr [version -short]] >= 2016.3} {
 
  set PS7 ps7
 
} else {
 
  set PS7 processing_system7
 
}
 
 
# very important
# very important
read_xdc -prop_thru_buffers -ref zed_base_axi_gpio_0_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0_board.xdc
read_xdc -prop_thru_buffers -ref zed_base_axi_gpio_0_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0_board.xdc
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0_board.xdc]
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0_board.xdc]
read_xdc -ref zed_base_axi_gpio_0_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0.xdc
read_xdc -ref zed_base_axi_gpio_0_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0.xdc
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0.xdc]
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0.xdc]
Line 23... Line 31...
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0_board.xdc]
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0_board.xdc]
read_xdc -ref zed_base_axi_gpio_2_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0.xdc
read_xdc -ref zed_base_axi_gpio_2_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0.xdc
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0.xdc]
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0.xdc]
read_xdc -ref zed_base_processing_system7_0_0 -cells inst ${BD_IP_DIR}/zed_base_processing_system7_0_0/zed_base_processing_system7_0_0.xdc
read_xdc -ref zed_base_processing_system7_0_0 -cells inst ${BD_IP_DIR}/zed_base_processing_system7_0_0/zed_base_processing_system7_0_0.xdc
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_processing_system7_0_0/zed_base_processing_system7_0_0.xdc]
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_processing_system7_0_0/zed_base_processing_system7_0_0.xdc]
read_xdc -prop_thru_buffers -ref zed_base_rst_processing_system7_0_50M_0 ${BD_IP_DIR}/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0_board.xdc
read_xdc -prop_thru_buffers -ref zed_base_rst_${PS7}_0_50M_0 ${BD_IP_DIR}/zed_base_rst_${PS7}_0_50M_0/zed_base_rst_${PS7}_0_50M_0_board.xdc
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0_board.xdc]
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_rst_${PS7}_0_50M_0/zed_base_rst_${PS7}_0_50M_0_board.xdc]
read_xdc -ref zed_base_rst_processing_system7_0_50M_0 ${BD_IP_DIR}/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0.xdc
read_xdc -ref zed_base_rst_${PS7}_0_50M_0 ${BD_IP_DIR}/zed_base_rst_${PS7}_0_50M_0/zed_base_rst_${PS7}_0_50M_0.xdc
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_rst_processing_system7_0_50M_0/zed_base_rst_processing_system7_0_50M_0.xdc]
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_rst_${PS7}_0_50M_0/zed_base_rst_${PS7}_0_50M_0.xdc]
read_xdc user_const.xdc
read_xdc user_const.xdc
# Link
# Link
link_design -top ${TOP_NAME} -part xc7z020clg484-1
link_design -top ${TOP_NAME} -part xc7z020clg484-1
# Opt
# Opt
opt_design
opt_design

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.