OpenCores
URL https://opencores.org/ocsvn/wrimm/wrimm/trunk

Subversion Repositories wrimm

[/] [wrimm/] [trunk/] [Example/] [WrimmExample_Top.vhd] - Diff between revs 6 and 8

Show entire file | Details | Blame | View Log

Rev 6 Rev 8
Line 1... Line 1...
 
--Propery of Tecphos Inc.  See License.txt for license details
--Latest version of all project files available at http://opencores.org/project,wrimm
--Latest version of all project files available at http://opencores.org/project,wrimm
--See License.txt for license details
 
--See WrimmManual.pdf for the Wishbone Datasheet and implementation details.
--See WrimmManual.pdf for the Wishbone Datasheet and implementation details.
--See wrimm subversion project for version history
--See wrimm subversion project for version history
 
 
library ieee;
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_1164.all;
Line 56... Line 56...
end entity Wrimm_Top;
end entity Wrimm_Top;
 
 
architecture structure of Wrimm_Top is
architecture structure of Wrimm_Top is
 
 
  component Wrimm is
  component Wrimm is
    --generic (
 
    --  MasterParams      : WbMasterDefType;
 
    --  SlaveParams       : WbSlaveDefType;
 
    --  StatusParams      : StatusFieldDefType;
 
    --  SettingParams     : SettingFieldDefType;
 
    --  TriggerParams     : TriggerFieldDefType);
 
    port (
    port (
      WbClk             : in  std_logic;
      WbClk             : in  std_logic;
      WbRst             : out std_logic;
      WbRst             : out std_logic;
      WbMasterIn        : in  WbMasterOutArray; --Signals from Masters
      WbMasterIn        : in  WbMasterOutArray; --Signals from Masters
      WbMasterOut       : out WbSlaveOutArray;  --Signals to Masters
      WbMasterOut       : out WbSlaveOutArray;  --Signals to Masters

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.