OpenCores
URL https://opencores.org/ocsvn/wrimm/wrimm/trunk

Subversion Repositories wrimm

[/] [wrimm/] [trunk/] [WrimmBuild.sh] - Diff between revs 6 and 8

Show entire file | Details | Blame | View Log

Rev 6 Rev 8
Line 3... Line 3...
# Propery of Tecphos Inc.  See WrimmLicense.txt for license details
# Propery of Tecphos Inc.  See WrimmLicense.txt for license details
# Latest version of all Wrimm project files available at http://opencores.org/project,wrimm
# Latest version of all Wrimm project files available at http://opencores.org/project,wrimm
# See WrimmManual.pdf for the Wishbone Datasheet and implementation details.
# See WrimmManual.pdf for the Wishbone Datasheet and implementation details.
# See wrimm subversion project for version history
# See wrimm subversion project for version history
 
 
#GHDL simulation script and gtkWave view of results
#GHDL simulation script and gtkWave view results
 
 
ghdl -i -v --workdir=work *.vhd
ghdl -i -v --workdir=work *.vhd
 
 
ghdl -m --workdir=work wrimm_top_tb
ghdl -m --workdir=work WrimmTestBench
 
 
ghdl -r wrimm_top_tb --vcd=wrimm.vcd --assert-level=warning --stop-time=119ns
ghdl -r WrimmTestBench --wave=wrimm.ghw --assert-level=error --stop-time=1000ns
 
 
# gtkwave wrimm.vcd
# gtkwave wrimm.ghw
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.