OpenCores
URL https://opencores.org/ocsvn/xgate/xgate/trunk

Subversion Repositories xgate

[/] [xgate/] [trunk/] [rtl/] [verilog/] [xgate_regs.v] - Diff between revs 2 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 12
Line 60... Line 60...
  output reg                  clear_xgif_2,    // Strobe for decode to clear interrupt flag bank 2
  output reg                  clear_xgif_2,    // Strobe for decode to clear interrupt flag bank 2
  output reg                  clear_xgif_1,    // Strobe for decode to clear interrupt flag bank 1
  output reg                  clear_xgif_1,    // Strobe for decode to clear interrupt flag bank 1
  output reg                  clear_xgif_0,    // Strobe for decode to clear interrupt flag bank 0
  output reg                  clear_xgif_0,    // Strobe for decode to clear interrupt flag bank 0
  output reg           [15:0] clear_xgif_data, // Data for decode to clear interrupt flag
  output reg           [15:0] clear_xgif_data, // Data for decode to clear interrupt flag
  output                      semaph_stat,     // Return Status of Semaphore bit
  output                      semaph_stat,     // Return Status of Semaphore bit
 
  output reg                  brk_irq_ena,     // Enable BRK instruction to generate interrupt
 
 
  input                       bus_clk,       // Control register bus clock
  input                       bus_clk,       // Control register bus clock
  input                       async_rst_b,   // Async reset signal
  input                       async_rst_b,   // Async reset signal
  input                       sync_reset,    // Syncronous reset signal
  input                       sync_reset,    // Syncronous reset signal
  input                       cop_flag,      // COP Rollover Flag
  input                       cop_flag,      // COP Rollover Flag
Line 105... Line 106...
        xge        <= 1'b0;
        xge        <= 1'b0;
        xgfrz      <= 1'b0;
        xgfrz      <= 1'b0;
        xgdbg      <= 1'b0;
        xgdbg      <= 1'b0;
        xgss       <= 1'b0;
        xgss       <= 1'b0;
        xgfact     <= 1'b0;
        xgfact     <= 1'b0;
 
        brk_irq_ena <= 1'b0;
        xgsweif_c  <= 1'b0;
        xgsweif_c  <= 1'b0;
        xgie       <= 1'b0;
        xgie       <= 1'b0;
       end
       end
    else if (sync_reset)
    else if (sync_reset)
      begin
      begin
        xge        <= 1'b0;
        xge        <= 1'b0;
        xgfrz      <= 1'b0;
        xgfrz      <= 1'b0;
        xgdbg      <= 1'b0;
        xgdbg      <= 1'b0;
        xgss       <= 1'b0;
        xgss       <= 1'b0;
        xgfact     <= 1'b0;
        xgfact     <= 1'b0;
 
        brk_irq_ena <= 1'b0;
        xgsweif_c  <= 1'b0;
        xgsweif_c  <= 1'b0;
        xgie       <= 1'b0;
        xgie       <= 1'b0;
     end
     end
    else if (write_xgmctl)
    else if (write_xgmctl)
      begin
      begin
        xge        <= write_bus[15] ? write_bus[7] : xge;
        xge        <= write_bus[15] ? write_bus[7] : xge;
        xgfrz      <= write_bus[14] ? write_bus[6] : xgfrz;
        xgfrz      <= write_bus[14] ? write_bus[6] : xgfrz;
        xgdbg      <= write_bus[13] ? write_bus[5] : xgdbg;
        xgdbg      <= write_bus[13] ? write_bus[5] : xgdbg;
        xgss       <= write_bus[13] ? write_bus[4] : xgss;
        xgss        <= write_bus[12] && write_bus[4];
        xgfact     <= write_bus[12] ? write_bus[3] : xgfact;
        xgfact      <= write_bus[11] ? write_bus[3] : xgfact;
        xgsweif_c  <= write_bus[10] ? write_bus[1] : xgsweif_c;
        brk_irq_ena <= write_bus[10] ? write_bus[2] : brk_irq_ena;
        xgie       <= write_bus[ 9] ? write_bus[0] : xgie;
        xgsweif_c   <= write_bus[ 9] && write_bus[1];
 
        xgie        <= write_bus[ 8] ? write_bus[0] : xgie;
      end
      end
    else
    else
      begin
      begin
        xgss       <= 1'b0;
        xgss       <= 1'b0;
        xgsweif_c  <= 1'b0;
        xgsweif_c  <= 1'b0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.