OpenCores
URL https://opencores.org/ocsvn/xge_mac/xge_mac/trunk

Subversion Repositories xge_mac

[/] [xge_mac/] [trunk/] [tbench/] [systemc/] [sc_main.cpp] - Diff between revs 18 and 19

Show entire file | Details | Blame | View Log

Rev 18 Rev 19
Line 54... Line 54...
 
 
#include "crc.h"
#include "crc.h"
 
 
#include "Vxge_mac.h"           // Top level header, generated from verilog
#include "Vxge_mac.h"           // Top level header, generated from verilog
 
 
 
#include "sc_defines.h"
 
 
#include "sc_testbench.h"
#include "sc_testbench.h"
#include "sc_testcases.h"
#include "sc_testcases.h"
 
 
 
 
int sc_main(int argc, char* argv[]) {
int sc_main(int argc, char* argv[]) {
Line 75... Line 77...
    sc_clock clk_156m25 ("clk_156m25", 10, SC_NS, 0.5);
    sc_clock clk_156m25 ("clk_156m25", 10, SC_NS, 0.5);
    sc_clock clk_wb ("clk_wb", 29, SC_NS, 0.5);
    sc_clock clk_wb ("clk_wb", 29, SC_NS, 0.5);
    sc_clock clk_xgmii ("clk_xgmii", 10, SC_NS, 0.5);
    sc_clock clk_xgmii ("clk_xgmii", 10, SC_NS, 0.5);
 
 
    sc_signal<bool> pkt_rx_ren;
    sc_signal<bool> pkt_rx_ren;
    sc_signal<unsigned long long > pkt_tx_data;
    sc_signal<vluint64_t > pkt_tx_data;
    sc_signal<bool> pkt_tx_eop;
    sc_signal<bool> pkt_tx_eop;
    sc_signal<unsigned int> pkt_tx_mod;
    sc_signal<unsigned int> pkt_tx_mod;
    sc_signal<bool> pkt_tx_sop;
    sc_signal<bool> pkt_tx_sop;
    sc_signal<bool> pkt_tx_val;
    sc_signal<bool> pkt_tx_val;
    sc_signal<bool> reset_156m25_n;
    sc_signal<bool> reset_156m25_n;
Line 89... Line 91...
    sc_signal<unsigned int > wb_dat_i;
    sc_signal<unsigned int > wb_dat_i;
    sc_signal<bool> wb_rst_i;
    sc_signal<bool> wb_rst_i;
    sc_signal<bool> wb_stb_i;
    sc_signal<bool> wb_stb_i;
    sc_signal<bool> wb_we_i;
    sc_signal<bool> wb_we_i;
    sc_signal<unsigned int> xgmii_rxc;
    sc_signal<unsigned int> xgmii_rxc;
    sc_signal<unsigned long long > xgmii_rxd;
    sc_signal<vluint64_t > xgmii_rxd;
 
 
    sc_signal<bool> pkt_rx_avail;
    sc_signal<bool> pkt_rx_avail;
    sc_signal<unsigned long long > pkt_rx_data;
    sc_signal<vluint64_t > pkt_rx_data;
    sc_signal<bool> pkt_rx_eop;
    sc_signal<bool> pkt_rx_eop;
    sc_signal<unsigned int> pkt_rx_mod;
    sc_signal<unsigned int> pkt_rx_mod;
    sc_signal<bool> pkt_rx_sop;
    sc_signal<bool> pkt_rx_sop;
    sc_signal<bool> pkt_rx_val;
    sc_signal<bool> pkt_rx_val;
    sc_signal<bool> pkt_rx_err;
    sc_signal<bool> pkt_rx_err;
    sc_signal<bool> pkt_tx_full;
    sc_signal<bool> pkt_tx_full;
    sc_signal<bool> wb_ack_o;
    sc_signal<bool> wb_ack_o;
    sc_signal<unsigned int> wb_dat_o;
    sc_signal<unsigned int> wb_dat_o;
    sc_signal<bool> wb_int_o;
    sc_signal<bool> wb_int_o;
    sc_signal<unsigned int> xgmii_txc;
    sc_signal<unsigned int> xgmii_txc;
    sc_signal<unsigned long long > xgmii_txd;
    sc_signal<vluint64_t > xgmii_txd;
 
 
    //==========
    //==========
    // Part under test
    // Part under test
 
 
    Vxge_mac* top = new Vxge_mac("top");
    Vxge_mac* top = new Vxge_mac("top");

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.