OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [src/] [system/] [S2ICC.vhdl] - Diff between revs 31 and 32

Show entire file | Details | Blame | View Log

Rev 31 Rev 32
Line 1... Line 1...
 
LIBRARY ieee;
 
USE ieee.std_logic_1164.ALL;
 
USE ieee.numeric_std.ALL;
 
 
 
ENTITY S2ICC IS
 
 
 
  PORT (
 
    CLK               : IN  STD_LOGIC;
 
    RST               : IN  STD_LOGIC;
 
    O_ADDRESS         : OUT STD_LOGIC_VECTOR(14 DOWNTO 0);
 
    O_DATA            : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
 
    O_RD              : OUT STD_LOGIC;
 
    O_WR              : OUT STD_LOGIC;
 
    I_ACK             : IN  STD_LOGIC;
 
    I_ADDRESS         : IN  STD_LOGIC_VECTOR(14 DOWNTO 0);
 
    I_DATA            : IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
 
    I_RD              : IN  STD_LOGIC;
 
    I_WR              : IN  STD_LOGIC;
 
    I_CPU_IF          : IN  STD_LOGIC;
 
    I_CPU_INSTR_ADDR  : IN  STD_LOGIC_VECTOR(14 DOWNTO 0);
 
    O_CPU_INSTRUCTION : OUT STD_LOGIC_VECTOR(15 DOWNTO 0));
 
 
 
END ENTITY S2ICC;
 
 
 
ARCHITECTURE Structural OF S2ICC IS
 
 
 
BEGIN  -- ARCHITECTURE Structural
 
 
 
 
 
 
 
END ARCHITECTURE Structural;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.