OpenCores
URL https://opencores.org/ocsvn/xulalx25soc/xulalx25soc/trunk

Subversion Repositories xulalx25soc

[/] [xulalx25soc/] [trunk/] [sw/] [usbi.cpp] - Diff between revs 13 and 41

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 13 Rev 41
Line 269... Line 269...
        nr = pop_fifo(buf, left);
        nr = pop_fifo(buf, left);
        left -= nr;
        left -= nr;
 
 
        while(left > 0) {
        while(left > 0) {
                raw_read(left, timeout_ms);
                raw_read(left, timeout_ms);
                nr = pop_fifo(buf, left);
                nr = pop_fifo(&buf[len-left], left);
                left -= nr;
                left -= nr;
 
 
                // printf("\tWHILE (nr = %d, LEFT = %d, len=%d)\n", nr, left, len);
                // printf("\tWHILE (nr = %d, LEFT = %d, len=%d)\n", nr, left, len);
                if (nr == 0)
                if (nr == 0)
                        break;
                        break;
Line 375... Line 375...
                memcpy(&buf[len-left], &m_rbuf[m_rend], ln);
                memcpy(&buf[len-left], &m_rbuf[m_rend], ln);
                left   -= ln;
                left   -= ln;
                avail  -= ln;
                avail  -= ln;
                m_rend  = (m_rend + ln)&(RCV_BUFMASK);
                m_rend  = (m_rend + ln)&(RCV_BUFMASK);
                nr     += ln;
                nr     += ln;
        }
 
 
        //      printf("P:");
 
        //      for(int i=0; i<ln; i++)
 
        //              printf("%02x ", buf[len-left-ln+i]);
 
        } // printf("\n");
 
 
        /*
        /*
        if (nr > 0)
        if (nr > 0)
                printf("\tPopped %d items, buf[0] = %02x (%d - %d)\n",
                printf("\tPopped %d items, buf[0] = %02x (%d - %d)\n",
                        nr, buf[0], m_rend, m_rbeg);
                        nr, buf[0], m_rend, m_rbeg);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.