Line 60... |
Line 60... |
|
|
entity charmaps_ROM is
|
entity charmaps_ROM is
|
port (
|
port (
|
-- i_DI : in std_logic_vector(7 downto 0); -- 8-bit Data Input
|
-- i_DI : in std_logic_vector(7 downto 0); -- 8-bit Data Input
|
-- i_DIP : in std_logic; -- 1-bit parity Input
|
-- i_DIP : in std_logic; -- 1-bit parity Input
|
-- i_EN : in std_logic; -- RAM Enable Input
|
|
-- i_WE : in std_logic; -- Write Enable Input
|
-- i_WE : in std_logic; -- Write Enable Input
|
-- i_SSR : in std_logic; -- Synchronous Set/Reset Input
|
-- i_SSR : in std_logic; -- Synchronous Set/Reset Input
|
|
i_EN : in std_logic; -- RAM Enable Input
|
i_clock : in std_logic; -- Clock
|
i_clock : in std_logic; -- Clock
|
i_ADDR : in std_logic_vector(10 downto 0); -- 11-bit Address Input
|
i_ADDR : in std_logic_vector(10 downto 0); -- 11-bit Address Input
|
o_DO : out std_logic_vector(7 downto 0) -- 8-bit Data Output
|
o_DO : out std_logic_vector(7 downto 0) -- 8-bit Data Output
|
-- o_DOP : out std_logic -- 1-bit parity Output
|
-- o_DOP : out std_logic -- 1-bit parity Output
|
);
|
);
|
end charmaps_ROM;
|
end charmaps_ROM;
|
|
|
architecture rtl of charmaps_ROM is
|
architecture rtl of charmaps_ROM is
|
|
signal s_EN : std_logic;
|
begin
|
begin
|
|
s_EN <= i_EN;
|
-- charmaps
|
-- charmaps
|
-- |------| |-----------------|
|
-- |------| |-----------------|
|
-- | P | | D D D D D D D D |
|
-- | P | | D D D D D D D D |
|
-- |======| |=================|
|
-- |======| |=================|
|
-- | 8 | | 7 6 5 4 3 2 1 0 |
|
-- | 8 | | 7 6 5 4 3 2 1 0 |
|
Line 109... |
Line 110... |
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000"
|
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000"
|
)
|
)
|
port map(
|
port map(
|
DI => (others => '1'), -- 8-bit Data Input
|
DI => (others => '1'), -- 8-bit Data Input
|
DIP => (others => '1'), -- 1-bit parity Input
|
DIP => (others => '1'), -- 1-bit parity Input
|
EN => '1', -- RAM Enable Input
|
EN => s_EN, -- RAM Enable Input
|
WE => '0', -- Write Enable Input
|
WE => '0', -- Write Enable Input
|
SSR => '0', -- Synchronous Set/Reset Input
|
SSR => '0', -- Synchronous Set/Reset Input
|
CLK => i_clock, -- Clock
|
CLK => i_clock, -- Clock
|
ADDR => i_ADDR, -- 11-bit Address Input
|
ADDR => i_ADDR, -- 11-bit Address Input
|
DO => o_DO, -- 8-bit Data Output
|
DO => o_DO, -- 8-bit Data Output
|